Clocking block output is not legal in this # or another expression.

  Clocking block output is not legal in this # or another expression.

 modport / clocking block the direction is wrong for monitor

转载于:https://www.cnblogs.com/testset/p/3443134.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Clocking block是一种在硬件描述语言中用于指定时钟与信号之间时序要求的机制。它可以在module、interface、checker或program中声明,并且在declaration的同时就已经实例化了,不需要再进行额外的实例化操作。\[1\] Clocking block的作用是将特定时钟下的同步信号进行集合,使得测试人员能够更加专注于事务的处理,而不需要过多关注信号与时钟的交互。一个testbench可以有多个时钟块,但是每个时钟只能有一个时钟块。\[2\] 在接口块中,可以使用不同的时钟块声明来定义不同的输入倾斜。每个时钟块声明都有不同的输入信号,并且与特定的时钟相关联。这样可以更加灵活地定义不同的时序要求。\[3\] #### 引用[.reference_title] - *1* [Systemverilog中Clocking blocks的记录](https://blog.csdn.net/W1Z1Q/article/details/130049057)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* *3* [SV : Clocking Block](https://blog.csdn.net/jerwey/article/details/122478790)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值