system verilog keyword ‘int‘ is not expected to be used in this context.

背景:

想在seq中添加一个随机变量用于时钟分频的随机值,约束加好之后,编译报错,如下:
systemverilog keyword ‘logic’ is not expected to be used in this context.

解决办法:

sv中,变量定义,要放在程序的最开头。编译才能成功。如果变量定义没有放在最前面,而是放到了赋值语句之后,就会编译报错。

  • 5
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值