Error-[SV-IDOCBO] Illegally driving clocking block output

systemverilog interface clocking block errors

Error-[SV-IDOCBO] Illegally driving clocking block output

…/testbench/tb_top.sv, 85
Clocking block output if0.cb.cnt_mode is illegally driven by a blocking
assignment.
Please make sure that the driver of the clocking block output is valid.

问题代码

if0.cb.cnt_mode =ctrl0.cnt_mode;

修改后代码

if0.cb.cnt_mode <=ctrl0.cnt_mode;
  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值