逻辑时钟_求职攻略| 异步电路中的时钟无毛刺切换(GlitchFree)

不想错过我的推送,记得右上角-查看公众号-设为星标,摘下星星送给我

5b6ee19637dd910f878194c4bd06a4a4.gif 76bdd0b126dd07acac2b7601216024a7.gif

上一期我们讲解了门控时钟电路的设计,当使用纯组合逻辑的方式实现时会产生毛刺(Glitch),这是异步电路最常见的问题。今天我们来讲解另一个笔试、面试中常见的去毛刺问题——时钟无毛刺切换(Glitch-Free)。IC岗位笔试常会出现,如给电路图画波形。

在SoC的设计中,根据实际需求,经常需要对不同频率、相位的时钟源进行选择与切换,最简单的时钟切换电路就是两个时钟切换,可以用纯组合逻辑来实现。我们先画一下用组合逻辑实现功能后的波形大概是怎样的,如下所示。

ba93046e97a7e3b74eaf71800357799e.png

当sel为高时选通sclk1,当sel为低时选通sclk2,clk_out为切换输出的结果,我们可以清晰的发现①和②处有毛刺产生,我们用RTL代码来描述并仿真验证。 //-------------------------- 01 module test ( 02      input    wire     sclk1   , 03      input    wire     sclk2   , 04      input    wire     sel     , 05      06      output   wire     clk_out      07 ); 08                 09 assign clk_out = ( sel & sclk1 ) | (~ sel & sclk2 ); 10 11 endmodule

//--------------------------

综合出的RTL视图如下所示:

027af4c6ff79edf11d000f51f9fe99c5.png

经过仿真我们发现在①处和②处时钟选择信号变化的时候会导致切换后的输出时钟产生毛刺,这显然是我们不想要的结果,所以要对上述的电路结构进行修改。

  • 4
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值