【牛客Verilog快速入门】基础语法代码和仿真代码演示 VL2 异步复位的串联T触发器

作者:小白蒋
7年材料专业转行芯片验证,两年芯片验证工作经验。

题目:

描述:

用verilog实现两个串联的异步复位的T触发器的逻辑,结构如图:
在这里插入图片描述## 波形示意图:

在这里插入图片描述

输入描述:

输入信号 data, clk, rst
类型 wire
在testbench中,clk为周期5ns的时钟,rst为低电平复位

输出描述:

输出信号 q
类型 reg

解答:

设计代码实现:

T触发器的特点:输入为1时,输出进行翻转。

在命令行敲入g tff.v,复制下面代码,

`timescale 1ns/1ns
module Tff_2 (
input wire data, clk, rst,
output reg q  
);
//*************code***********//
reg tmp;
    always@(posedge clk or negedge rst) begin
        if(~rst)
            tmp <= 0;
        else if(data)
            tmp <= ~tmp;
        else
            tmp <= tmp;
    end
    
    always@(posedge clk or negedge rst) begin
        if(~rst)
            q <= 0;
        else if(tmp)
            q <= ~q;
        else
            q <= q;
    end

//*************code***********//
endmodule

验证平台实现:

在命令行敲入g tff_tb.sv,复制下面代码:


`timescale 1ns/1ps
module tb_top();
  logic data,RST;
  logic Q;

  logic clk;

initial begin

    #0;
    clk = 1;
    #20;
    forever #50 clk = ~clk;
end

initial begin
    data = 'h1;
    RST = 1;
    #50;
    RST = 0;
    #100;
    RST = 1;
    $display("At time:%t, Q:%h", $time, Q);
    repeat(5) @(posedge clk);
    data = 'h0; 
    $display("At time:%t, Q:%h", $time, Q);
    repeat(3) @(posedge clk);
    data = 'h1;
    $display("At time:%t, Q:%h", $time, Q);
    repeat(2) @(posedge clk);
    $display("At time:%t, Q:%h", $time, Q);
    $finish;
end

Tff_2 u0_TFF_2 (
    .data(data),
    .clk(clk),
    .rst(RST),
    .q(Q)
);

initial begin
    $fsdbDumpfile("tb_top.fsdb");
    $fsdbDumpvars(0, tb_top);
end

endmodule



makefile脚本实现:

在命令行敲入 g Makefile

#-----------------------------------------------------------
#// FileName: makefile 
#// Creator: xiaobaijiang
#// time: 2022-04-21
#// wchat: jianfuk
#//-----------------------------------------------------------



run:	
	vcs -R -full64 +v2k -fsdb  -sverilog tff.v tff_tb.sv -l run.log


verdi:
	verdi -sv tff.v tff_tb.sv  -ssf tb_top.fsdb &



clean:
	@rm -rf verdiLog *.log *.fsdb csrc ucli.key simv* *.conf *.rc 

仿真看波形:

在命令行输入make run
在这里插入图片描述
在命令行输入make verdi看波形

在这里插入图片描述
更多芯片验证自学教程,请访问->传送门

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小白蒋博客

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值