用verilog实现一个 一位全加器

18 篇文章 6 订阅

用verilog实现一个 一位全加器

这个是 基础中的基础中的基础中的基础

我们先来看看答案:

module add_1bit(
	input a,b,in,
    output c,out
);
    assign {out, c} = a + b + in;
endmodule

从抽象层次来说,这并不是用数电的设计方法来实现的,但是这不影响我们通过这个来讲讲Verilog和设计。

  • 首先,全加器的作用就是在模拟一个 1+1=2 的过程。a,b是两个加数,in是后一个位的进位位。c的是结果,out是输出进位位。

  • 然后 我们可以按上一个过程来写一个 真值表,来推测他们的输入输出情况
    QYKbjI.png

  • 虽然上图看起来是很长,但是他在模拟的确实就是一个 1+1=2的过程是吧。

  • 最后就是Verilog的代码了,这个懂一点英文的我估计都能看懂是吧,那更深入的介绍就等下回介绍吧。最后我们来看一下quartus的综合结果!
    QYMa2d.png

结语

最后 祝大家FPGA旅途愉快。

对了 我个人博客已经搭建好了,欢迎大家来“踩一踩”:

hhhhorrible.github.io

如果你觉得有丶收获的话

  • 22
    点赞
  • 77
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小何的芯像石头

谢谢你嘞,建议用用我的链接

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值