nc-sim (irun)和verdi ncverilog,

 -

irun有意思的地方,其帮助命令

irun -helphelp

 irun -helpall

---------------

yxr:简单点说,就是添加动态库的路径名,LD_LIBRARY_PAH,然后调用时添加

           -loadpli1 debpli:novas_pli_boot  或者  +loadpli1=debpli:novas_pli_boot


原文:https://blog.csdn.net/steven_yan_2014/article/details/41778825 
版权声明:本文为博主原创文章,转载请附上博文链接!

 

VCS无法使用的问题困扰了好久,暂且放下,下面要搞定ncverilog和verdi结合使用dump fsdb的问题。

其实很简单,如果正确安装了Ncverilog和Verdi,只需要两步就可以搞定。

1.设置环境变量,也就是把Verdi的PLI库设置起来:

export LD_LIBRARY_PATH=/usr/cad/verdi-2012.10/share/PLI/IUS/LINUX/boot:$LD_LIBRARY_PATH

2.在Ncverilog的仿真命令里加入command如下:

+ncaccess+rwc +loadpli1=debpli:novas_pli_boot

比如ncverilog test_top.v +ncaccess+rwc +loadpli1=debpli:novas_pli_boot

并且在test_top.v的initial块种加入fsdbdump的命令

initial begin
  $fsdbDumpfile("system.fsdb");
  $fsdbDumpvars(0,test_top);

end
---------------------

 

 

irun就是cadence verilog/vhdl最新的仿真命令。

最老的是ncvlog/ncvhdl、ncelab、ncsim三步式;
ncverilog和irun类似,都可以理解是脚本命令;真实仿真,还是依赖三步式的命令。
虽然原理,依然是三步式;但单命令方式,使用起来更简单。
irun,可以认为就是三步式命令。所以支持的功能feature,都是完全一致的。这一行的话,是我自己理解的。。
ncverilog已经过时,irun是主流。可以查看EDA工具,确认ncverilog就是irun的链接符号。
---------------------

 

https://www.cnblogs.com/digital-wei/p/6017812.html

【开发环境】 irun(ncverilog)无法dump fsdb波形问题解决方法

 2. IRUN运行时出现如下错误:$fsdbDumpfile和$fsdbDumpvars 函数不能识别;

复制代码
    Building instance overlay tables: .................... Done
    Generating native compiled code:
        worklib.HANDSHAKE_TB:v <0x45f2bf0a>
            streams:   1, words:   554
    Building instance specific data structures.
    Loading native compiled code:     .................... Done
    Design hierarchy summary:
                           Instances  Unique
        Modules:                   2       2
        Registers:                15      15
        Scalar wires:              7       -
        Always block
  • 3
    点赞
  • 28
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值