自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

darcsdn

xxxxxx

  • 博客(73)
  • 资源 (9)
  • 收藏
  • 关注

原创 非NVIDIA平台下的CUDA的替代方案OpenCL,第一步如何获取PlatformInfo、DeviceInfo

当谈到高性能计算,NVIDIA的CUDA框架无疑是一个强大的工具。OpenC(Open Computing Language)是一个更为通用的解决方案,或者你使用的是非NVIDIA硬件,那么OpenCL是一个极佳的选择。作为一个开放标准,OpenCL支持广泛的硬件平台,包括CPU、GPU、DSP和FPGA。

2024-03-31 22:03:39 288

原创 掌控无显示器Linux开发板:VNC远程桌面接入指南

掌控无显示器Linux开发板:VNC远程桌面接入指南Linux开发板是许多技术人员常用的工具,但有时它们并不配备显示器。这时,VNC(Virtual Network Console)软件就成为了一个非常有用的工具,它允许用户通过网络远程登录到开发板的桌面环境。通过安装和配置VNC,即使没有物理连接到显示器,也可以实现对Linux开发板的全面控制。

2024-03-13 22:49:05 321

原创 5分钟教你使用pyarmnn推理引擎识别一只可爱猫咪~

5分钟教你使用pyarmnn推理引擎识别一只可爱猫咪~默认会下载一个小猫的图片还有标签文件。可以看大,tabby的概率最高。

2024-03-10 21:59:58 184

原创 rk3399使用阿里推理引擎MNN使用cpu和gpu进行benchmark,OpenCL效果不佳?

然后将build目录下的libMNN.so以及benchmark.out和上级目录下的benchmark的model放到一起,同时libMNN.so需要放到rk3399的lib目录下。可以看到,gpu使用上很慢且存在算子的问题,实际上在rk3568上测试opencl很流畅且没有问题,这里留下问题,之后探究。然后运行benchmark测试,第二个参数:loop测试次数,第4个参数:0代表使用cpu,3代表使用opencl。

2024-03-10 12:13:42 416

原创 CUDA真香?CUDA与CPU在计算方面的差距

经过上面的测试,cuda和cpu简单比较下来,数据量越大,cuda越有优势,数据量很小的时候还不如用cpu,当然cuda程序的编写也是较大的影响因素,内存的分配,程序逻辑的解耦等等。使用python脚本进行耗时统计(橙黄色cpu耗时,蓝色cuda耗时,x轴为计算量逐渐递增)CUDA与CPU在计算方面的差距。代码仓库(如果对你有用麻烦点个STAR!

2024-02-28 23:46:39 324

原创 python使用winio控制x86工控机的gpio

【代码】python使用winio控制x86工控机的gpio。

2024-02-26 23:00:11 250

原创 【AG32VF407】国产MCU+FPGA,更新官方固件解决8Mhz内部晶振不准,Verilog实测7.9Mhz!

链接:https://pan.baidu.com/s/10Ki3HC30x6tpxzcfvf8Lwg?[AG32VF407]国产MCU+FPGA,更新官方固件解决8Mhz内部晶振不准,Verilog实测7.9Mhz!然后更新自己的fpga程序bin时,不能勾选Full chip erase before program。其次需要使用supra中bin中的Downloader.exe进行更新。选择好固件,连接jlink,烧录。之前不准的频率,6.49Mhz。更新后,7.9Mhz。

2024-02-01 09:58:13 1073 1

原创 【AG32F407】国产MCU+FPGA,教你用Verilog编写LED呼吸灯!

AG32F407]国产MCU+FPGA,教你用Verilog编写LED呼吸灯!

2024-02-01 09:48:40 445

原创 【AG32VF407】国产MCU+FPGA Verilog双边沿检测输出方波

本次使用使用AG32VF407开发板中的FPGA,使用双clk的双边沿进行检测,同步输出方波。同时可以根据输出的方波检测clk的频率,以及双clk的相位关系,如下为verilog代码。[AG32VF407]国产MCU+FPGA Verilog双边沿检测输出方波。使用GPIO为,PB12合PB13,下图为示波器抓取的波形。

2024-01-31 09:47:21 597

原创 [AG32VF407]国产MCU+FPGA Verilog编写控制2路gpio输出不同频率方波实验

实测波形,这里12Mx2=24M,还有3.2Mx2=6.4M,和实际设置有差异,不确定是内部晶振问题还是配置问题,还需要和AGM进行技术咨询,总体的逻辑是符合预期,调整clock out的比例,波形输出也成比例。修改VE文件,clk选择PIN_OSC,使用内部晶振8Mhz,gpio使用PIN_51和52,pinout是数组。增加verilog逻辑代码,两个always循环,按照c0和c1翻转pin_51和pin_52。根据原理图,选择两个pin脚作为输出。添加pll,修改pll,输入频率8M。

2024-01-30 09:23:03 562

原创 [AG32VF407]国产MCU+FPGA 开发环境Supra及Quartus配置及led

参考文章《MANUAL_AGRV2K.pdf》,使用supra将AG2K_led_demo迁移一个新的工程,再由新的工程转换成quartus II工程。选择af_quartus.tcl脚本,执行run,就会看到窗口在运行编译等。出现如上的警告,表示当前的license不支持这个设备,需要按照如下来。要选择安装目录下bin64中的sys_cpt.dll才可以,在编译一次。使用quartus打开工程,led.qpf。其他注册方式参考网上的安装和注册方法。需要下载 Quartus II。

2024-01-29 09:49:22 361

原创 [AG32VF407]国产MCU+FPGA 使用I2C测试陀螺仪MPU6050

AG32VF407]国产MCU+FPGA 使用I2C测试陀螺仪MPU6050。串口调试终端输出,who am i 寄存器数据符合预期。查看原理图中定义的I2C的管脚,PB0和PB1。在board.ve中定义的引脚功能。通过逻辑分析仪抓取的I2C波形。

2024-01-27 20:00:01 624

原创 国产FPGA(AG32VF407 AGRV2K)LED程序控制D3闪烁

本次测试用的源文件为E:\tech\AGM-AG32VF\sdk-release\AgRV_pio\platforms\AgRV\examples\example\src\example.c。经过在线debug发现如下INT_Init中一直在等待某一个irq完成,目前还不清楚这个irq在上电时一直触发的原因,如果不修改如上,按下K_UP键也可以继续往下运行。重新编译,再烧录upload程序,但发现D3或者没有一个灯是亮的,这时候无论时RST还是重新上电,都没有任何反应。在exampel.c中增加如下代码。

2024-01-27 19:53:59 616

原创 AG32VF407 AGRV2K 串口printf调试输出

platformio.ini中增加如下配置,uart调试端口可以查看设备管理器,COM多少,其中速率默认sdk为500000。build,upload,然后再点击Serial Monitor,输出如下图。[AG32VF407]国产MCU+FPGA 串口printf调试输出及演示。新建一个platformio工程,复制如下文件到测试工程目录下。

2024-01-26 10:11:05 295

原创 AG32VF407 AGRV2K 开发环境搭建及Jlink烧录测试

使用vscode打开sdk安装目录下的example(sdk-release\AgRV_pio\platforms\AgRV\examples\example)[AG32VF407]国产MCU+FPGA vscode+platformio环境搭建及Jlink烧录测试。vscode需要耐心等待PlatformIO插件的安装,右下角可以看到还在初始化。左下角对勾可以编译,或者运行New Terminal,输入指令编译成功。准备一个Jlink,需要配置jlink工具。安装AgRv_pio,这个是sdk包。

2024-01-25 08:59:58 501

原创 AG32VF407 AGRV2K 开箱及STM32F407评估版对比

AG32VF407]国产MCU+FPGA 开箱。

2024-01-23 23:29:45 508

原创 OpenCV读取摄像头窗口变大且很卡的解决方法

v4l2(video for linux two)是Linux中内核提供给应用层访问音视频驱动的统一接口。v4l2中获取摄像头的能力的是通过ioctl函数的VIDIOC_QUERYCAP命令获取。继续运行,还是很卡,WARN还在,修改代码如下,增加cv2.CAP_V4L2。运行后,读取摄像头的窗口很大,而且很卡,出现如下的提示。安装libcanberra-gtk-module。OpenCV读取摄像头窗口变大且很卡的解决方法。读取摄像头窗口变大且很卡的代码。

2024-01-21 11:22:05 757

原创 OpenCV编译C++测试程序获取cuda设备信息

故使用g++编译的时候,需要加上-l指定头文件目录,否则就会报找不到opencv头文件。手动编译的opencv的安装目录在/usr/lib下,可以看之前的cmake参数。再增加-L指定依赖动态库的位置,-lopencv_core为基础库。OpenCV编译C++测试程序获取CUDA设备信息。还有如下库可能会使用到。

2024-01-21 11:19:36 647

原创 Jetson Orin Nano使用OpenCV获取视频帧率和帧数的方法

计算验证,fps含义,多少帧frame每秒,视频的时间就等于总帧数/fps,807/30=26.9s,符合我们最开始看到的视频时间。Jetson Orin Nano使用OpenCV获取视频帧率和帧数的方法。python3运行结果,30fps,总帧数807。使用cv库来获取帧率和帧数,测试代码如下。首先确认下视频的播放时间。

2024-01-20 16:09:42 740

原创 Jetson Orin Nano安装OpenCV带cuda加速版本的全过程

但import cv2时,还是会报no module "cv2"的报错,到build目录下,找到python_load文件夹,这个文件夹就是cv2编译的python package。其中cv2的包将安装到/lib/python3.8/site-packages/cv2/python-3.8,如下为make的过程。使用jetpack安装的jetson,自带了opencv,但是没有cuda加速的,输入opencv_version。使用jtop查看,可以确认自带的opencv是没用cuda的。

2024-01-20 16:02:38 1198

原创 Jetson Orin Nano 使用OpenCV调用USB摄像头

Jetson Orin Nano 使用OpenCV调用USB摄像头。检查usb摄像头是否被识别到。

2024-01-17 12:42:39 841

原创 Jetson Orin Nano训练YOLOv5 完美世界-荒天帝

Jetson Orin Nano训练YOLOv5 完美世界-荒天帝。视频以及图片仅用作学习使用,侵删~数据较少,训练练习,效果一般~

2024-01-06 20:46:03 381

原创 Jetson Orin Nano使用YOLOv5进行二维码QR code识别

Jetson Orin Nano使用YOLOv5进行二维码QRcode识别。二维码为bing引擎搜索,仅用作学习使用,侵删~

2024-01-03 21:55:20 435

原创 Jetson Orin Nano训练YOLOv5

Jetson Orin Nano训练YOLOv5。硬件平台:jetson orin nano。

2024-01-02 23:52:16 494

原创 如何给linux内核的config增加新驱动选项?

在Kconfig中添加config选项,可以参考其他同级配置,CAN_F81601最终选中后,会传给Makefile为CONFIG_CAN_F81601,而tristate后面的则是在menuconfig中的文本提示,只要只管可以理解均可。空格可以选择该选项,M表示编译成内核模块,*表示编译到kernel镜像文件中,空表示不使能该配置。使用make menuconfig,使用快捷指令/,输入f81601可以快速定位到该选项。在对应的源码目录下也能看到中间编译文件,大功告成!使用make编译,查看输出。

2023-12-24 15:45:11 447

原创 Ubuntu20.04安装ROS2

ros-foxy-desktop会含有ROS, RViz, demos, tutorials。第二个窗口,把鼠标点在这个窗口,上下左右键可以控制小乌龟的移动。添加DNS144.144.144.144。Ubuntu20.04安装ROS2。

2023-12-03 18:19:06 378

原创 教你烧录Jetson Orin Nano的ubuntu20.04镜像

Jetson orin nano烧录镜像教程

2023-11-05 09:25:55 897

原创 【ESP32-CAM】20元就能搭建简易Web摄像头

选一个USB-TTL的串口工具,按照图示,RX接U0TXD,TX接U0RXD,GND和5V供电,其中GPIO0在烧录时需要短接到GND。在首选项中,增加网址https://dl.espressif.com/dl/package_esp32_index.json。将GPIO0短接到GND,按下开发板的RST键,点击编译。打开串口监视器,调整波特率为115200,按下RST键。在IDE上选择串口,可以取得开发板信息测试一下。烧录成功,将GPIO0断开和GND的连接。选择ESP32-CAM开发板。

2023-07-11 23:04:12 359

原创 [RISC-V]Milk-v开发板 陀螺仪 lsm6dsr i2c驱动module及测试程序

【代码】[RISC-V]Milk-v开发板 陀螺仪 lsm6dsr i2c驱动module及测试程序。

2023-07-08 20:59:10 680

原创 [RISC-V]Milk-V开发板 i2c测试oled及波形输出

【代码】[RSIC-V]Milk-V开发板 i2c测试oled及波形输出。

2023-07-02 12:01:07 394

原创 [RISC-V]Milk-V开发板测试pwm及波形输出

【代码】[RISC-V]Milk-V开发板测试pwm及波形输出。

2023-06-18 12:20:55 247

原创 linux驱动中ioctl使用

linux驱动中ioctl使用在驱动头文件中定义幻数/* 定义幻数 */#define OLED_IOC_MAGIC 'k'/* 定义命令 */#define OLED_IOC_OPEN _IO(OLED_IOC_MAGIC, 1)#define OLED_IOC_CLOSE _IO(OLED_IOC_MAGIC, 2)#define OLED_IOC_SET_POINT _IOR(OLED_IOC_MAGIC, 3, int)#define OLED_IOC_SET_STRING _

2021-05-03 19:26:25 183

原创 linux下oled驱动

linux下oled驱动oled12864接口:4针i2c供电:3.3-5v像素:128*64体积:27272ic:SSD1306地址:0x3c(手册中0x78,实际0x3c)代码先上代码:github仓库链接gitee仓库链接设备树在i2c的控制器下追加该设备&i2c2 { clock_frequency = <100000>; pinctrl-names = "default"; pinctrl-0 = <&pinctrl_i2

2021-05-03 19:16:41 692

原创 linux下mpu6050驱动

linux下mpu6050驱动代码先上代码:github仓库链接gitee仓库链接设备树在i2c的控制器下追加该设备&i2c2 { clock_frequency = <100000>; pinctrl-names = "default"; pinctrl-0 = <&pinctrl_i2c2>; status = "okay"; mpu6050:mpu6050@68 { compatible = "dar,mpu6050"; re

2021-05-03 19:08:49 1229 10

原创 linux下mpu6050驱动 i2c

linux下mpu6050驱动环境介绍大致流程接线修改设备树增加驱动文件dev structopenreleasereadopsmatchproberemovei2c drivermisc完整代码示例环境介绍imx6ullmpu6050模块(i2c接口)ubuntu 18.04大致流程接线,对照原理图,找到i2c的资源,这里我们用的i2c2的接口修改设备树,在对应i2c2控制器下增加节点增加驱动文件,对读写的实现接线略修改设备树在i2c控制器下追加节点&i2c2

2021-04-05 08:44:45 550

原创 虚拟机卡在命令行界面不动/dev/sda1 clean...

一般卡在这里都是因为硬盘容量不够了ctrl alt f3进入如下界面然后sudo apt autoremove删掉一些不用的软件cd到你知道比较大的文件夹里面,删掉比较大的文件sudo rm -rf xxx

2021-01-19 09:45:21 4764

原创 如何高效地读论文-how to read paper efficiently

如何高效地读论文-how to read paper efficiently免费下载论文-get free paper归类论文-regulate paper阅读-how to read泛读:精读总结论文结构摘要介绍相关工作我们的工作实验讨论免费下载论文-get free papersci-hub,免费科研论文下载。归类论文-regulate paper两种方式:a. 时间-作者-题名b. 时间-关键字-题名软件:Mendeley,免费阅读-how to read泛读:标题,摘

2020-11-08 20:03:58 622

原创 IAR 解决 Error[Li005]: no definition for....

IAR 解决 Error[Li005]: no definition for…明明声明,也定义了,但是偏偏编译就报这个错误。如果你配置的是C++混合C,那.c文件的头文件都要加上这样一段#ifndef _ENCODE_H_#define _ENCODE_H_#include <stdio.h>#include "board.h"#if defined(__cplusplus) //一定要加extern "C" { //一定要加#endif //一定要加int test(i

2020-11-02 17:10:49 4804

原创 Matlab学习-可视化和编程

函数function跟脚本script一样,就有一个不同的地方,就是函数必须又声明。有些要注意的地方必须要有function的关键字,函数名要和文件名一直不需要返回return函数范围,在函数内创建的变量如果不返回,那么函数结束后也会消失,成为本地变量。函数重载像size函数一样,可以多个输入或者多个输出的重载function plotSin(f1)temp = 0:f1:2*pi;plot(temp,sin(temp));end...

2020-10-12 23:33:12 413

原创 Matlab学习-基本介绍

Get Starthelp 最重要的功能help sin 得到sin功能的介绍doc sin 具体介绍和例子docsearch sin trigonometric 根据特定的关键词查找文档Script按照顺序执行的指令集合再matlab editor中编写保存为.m文件创建方法edit MyFileName.m或者单击创建新脚本在工具栏的左上方注意事项%后面跟着的都是注释程序刚开始的连续的%一般作为程序的帮助文档%%两个作为代码块的开始,再来一个%%作为结束,可以分代

2020-10-11 16:38:39 994

YOLOv5二维码QR code识别

YOLOv5二维码QR code识别

2024-01-03

103_407.pack离线包.rar

keil5 的stm32f103 和stm32f407的离线安装包 平常在keil官网下载比较慢,一般要下很久,采用离线安装就比较爽了

2020-11-24

SAE J1939.doc

柴油车目前的ODB协议,可以通过该协议实现从CAN网络中读取发动机转速等信息,车门信息,发动机故障信息等等

2020-11-08

acado_manual.pdf

ACADO工具包是一个软件环境和算法收集用c++写的控制和动态优化。它提供了一个使用伟大的通用框架,各种直接最优控制算法,包括模型预测控制以及即状态估计和参数估计。它还提供了(独立的)有效实现龙格-库塔和BDF积分器用于ODE和DAE的仿真。

2020-09-15

切向加速度和法向加速度.ppt

对于切向加速度和法向加速度的PPT,讲解了两者直接的关系,和运动学建模,v=rw等关系,还有一些图例

2020-09-15

FreeRTOS-STM32F103-Demo.zip

针对stm32f103ZE开发板的freeRTOS的移植,新建了两个task, 1. 编码器读取,针对AB型 2. pwm输出,可以点亮LED

2020-09-15

PID和LQR两轮平衡车公式推导.pdf

PID和LQR两轮平衡车公式推导,英文版,包含一部分中文注释, 有针对PID和LQR部分的建模和公式计算过程

2020-09-15

DMX512解码芯片原理使用说明

DMX512解码芯片原理使用说明,6通道 2. MY9221,48通道 3. MY9231,48通道 4. MBI6024,48通道 5. DM13A,48通道 6. TLS3001,48通道 7. UCS2909,54通道

2018-09-02

查看句柄,获取HANDLE,用于windows编程获取某窗口句柄

查看句柄,获取HANDLE,用于windows编程获取某窗口句柄

2018-09-02

关闭指定进程批处理文件

批处理小工具,如果需要关掉的进程,就再里面更改下就可以了,双击运行。

2018-06-19

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除