//报错
package test_pkg;
import uvm_pkg::*;
`include "uvm_macros.svh"
`include "pcie_cpl_pins.sv" //interface
`include "pcie_item.sv"
`include "pcie_monitor.sv"
`include "pcie_driver.sv"
//...
endpackage
** Error: (vlog-13069) ** while parsing file included at ./pcie_cpl_pkg.sv(9)
** at pcie_cpl_pins.sv(35): near “pcie_cpl_pins”: syntax