UVM中导入uvm_pkg库的作用(import uvm_pkg::*)

uvm_pkg作用:

**该库包含uvm内建的变量、方法、机制,在uvm验证环境中都需要导入
**

uvm_pkg导入方法:

import uvm_pkg::*

uvm_pkg编译问题:

将uvm_pkg导入至工程中后,不需要手动编译,questa 会默认自动地将它编译至lib库(不是work,图中1处有些错误)中,供后续调用
**
在这里插入图片描述

  • 1
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值