class jelly_bean_configuration extends uvm_object;
`uvm_object_utils(jelly_bean_configuration)
function new(string name = "");
super.new(name);
endfunction: new
endclass: jelly_bean_configuration
class jelly_bean_test extends uvm_test;
`uvm_component_utils(jelly_bean_test)
jelly_bean_env jb_env;
function new(string name, uvm_component parent);
super