[uvm] item域是另外一个item 时, item随机化处理

静态数组

class my_transaction0  extends uvm_sequence_item;
  rand bit[29:0] data;
  constraint data_cons{
   
   // data<=1048575;
    data[29:20] <=10'b0;
  }

  `uvm_object_utils_begin(my_transaction0)
    `uvm_field_int(data, UVM_ALL_ON)
  `uvm_object_utils_end

  function new(string name="my_transaction0");
    super.new(name);
  endfunction

endclass

class my_transaction  extends uvm_sequence_item;
//class my_transaction  extends my_transaction0;
  rand my_transaction0 axc [120];  //item类型  静态数组


  `uvm_object_utils_begin(my_transaction)
    //`uvm_field_int(data, UVM_ALL_ON)
    `uvm_field_sarray_object
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值