静态数组
class my_transaction0 extends uvm_sequence_item;
rand bit[29:0] data;
constraint data_cons{
// data<=1048575;
data[29:20] <=10'b0;
}
`uvm_object_utils_begin(my_transaction0)
`uvm_field_int(data, UVM_ALL_ON)
`uvm_object_utils_end
function new(string name="my_transaction0");
super.new(name);
endfunction
endclass
class my_transaction extends uvm_sequence_item;
//class my_transaction extends my_transaction0;
rand my_transaction0 axc [120]; //item类型 静态数组
`uvm_object_utils_begin(my_transaction)
//`uvm_field_int(data, UVM_ALL_ON)
`uvm_field_sarray_object