[uvm] override参数化类


class my_case0 extends base_test;

   function new(string name = "my_case0", uvm_component parent = null);
      super.new(name,parent);
   endfunction 
   extern virtual function void build_phase(uvm_phase phase); 
   `uvm_component_utils(my_case0)
endclass


function void my_case0::build_phase(uvm_phase phase);
  uvm_factory factory = uvm_factory::get();  //在super.build_phase(phase);之前  //这句没有好像也行 ,但是不能在 super.build_phase(phase);之后写
   super.build_phase(phase);
  //uvm_factory factory = uvm_factory::get();
  // factory = uvm_factory::get();
    factory.set_inst_override_by_type(base_scoreboard#()::get_type(),my_scoreboard#()::get_type(),"*")
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值