UVM overwrite

本文介绍了如何在UVM中进行覆盖操作,并通过修改makefile指令`make test=test_da_3_inst`进行编译和仿真。同时,在仿真结束时,利用`start_of_simulation_phase`移动到`final_phase`以展示UVM树和工厂注册信息,通过`uvm_top.print_topology()`和`factory.print()`来查看UVM组件结构和覆盖关系。
摘要由CSDN通过智能技术生成
    `ifndef TEST_COLLECTION__SV
    `define TEST_COLLECTION__SV

    `include "router_env.sv"

    class test_base extends uvm_test;
      `uvm_component_utils(test_base)
  router_env env;

  function new(string name, uvm_component parent);
    super.new(name, parent);
    `uvm_info("TRACE", $sformatf("%m"), UVM_HIGH);
  endfunction

  virtual function void build_phase(uvm_phase phase);
    super.build_phase(phase);
    `uvm_info("TRACE", $sformatf("%m"), UVM_HIGH);
    env = router_env::type_id::create("env", this);
  endfunction

//
// The start_of_simulation_phase method from lab1 is moved to final_phase
// for the convinience of seeing the topology and factory registry at the
// end of simulation.  In practice, you should implement both phases to
// display the topology and the factory registry.
//
  virtual function void final_phase(uvm_phase phase);
    super.final_phase(phase);
    `uvm_in
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值