class bus_trans extends uvm_sequence_item;
rand int data;
`uvm_object_utils_begin(bus_trans)
uvm_field_int(data,UVM_ALL_ON)
uvm_object_utils_end
endclass
class child_seq extends uvm_sequence;
`uvm_object_utils(child_seq)
...
task body();
uvm_sequence_item tmp;
bus_trans req;
tmp=create_item(bus_trans::get_type(),m_sequencer,"req");
void'($cast(req, tmp));
start_item(req);
req.randomize with {
data==10;}
[uvm]create_item ???
最新推荐文章于 2023-02-04 21:40:56 发布