48_ZYNQ7020开发板SDK_逻辑ARM_PS_LED/GPIO输入输出

本章介绍PS端的MIO操作,MIO是基础的外设IO,可以连接诸如SPI,I2C,UART,GPIO等,通过Vivado软件设置,软件可以将PS端信号通过MIO导出,同样可以将信号通过EMIO连接到PL端的引脚上。
因为需要控制PS端的MIO,所以需要将GPIO MIO打开,需要配置。
在这里插入图片描述
MIO共有两个BANK,BANK0有有16个引脚,BANK1为38个引脚,共计54个引脚
在这里插入图片描述
7020开发板BANK介绍,分别连接PS端54脚和PL端64脚
BANK0控制32个信号,BANK1控制22个信号总共MIO有54个引脚也就是常用的SPI,I2C,USB,SD等。
BANK2和BANK3共能控制64个PL端引脚,注意每一组都有三个信号,输入EMIOGPIO1,输出EMIOGPIO0,输出使能EMIOGPIOTH,类似三态门,共计192个信号,可以连接到PL端引脚,通过PS端控制。
在这里插入图片描述
点击Launch SDK,打开SDK进行开发
在这里插入图片描述

一、查看原理图可以得知7020的LED连接到了PS端的MIO0和MIO13上,可以根据相应的开发板MIO的位置控制LED灯。
在这里插入图片描述
二、新建工程默认hello world工程,工程名字为ps_led_test
在这里插入图片描述
下图为GPIO的控制框图,实验中会用到输出部分的寄存器,数据寄存器DATA,数据掩码寄存器MASK_DATA_LSW,MASK_DATA_MSW,方向控制寄存器DIRM,输出使能控制器OEN。
在这里插入图片描述
数据掩码寄存器MASK_DATA_0_LSW、MASK_DATA_0_MSW,方向寄存器DIRM、输出使能寄存器OEN_0,数据寄存器DATA_0。
在这里插入图片描述

三、查看赛灵思提供的example工程,点开system.mss,打开ps7_gpio中的Import Examples,
在弹出的窗口中选择“xgpios_polled_example”点击OK

在这里插入图片描述
在这里插入图片描述
这个example工程师测试PS端MIO的输入输出,由于开发板PS端的LED是MIO0和MIO13,需要在文件中修改Output_pin为0,测试MIO的LED灯。
在这里插入图片描述
四、选中example的工程,右键进入Run Configurations。

在这里插入图片描述
双击System Debugger
在这里插入图片描述
10.选中Reset entire system,复位整个系统,即可看到PS_LED1闪烁16次。
在这里插入图片描述
新建LED灯控制例子
在ps_led_test的helloworld.c中修改,开发步骤为初始化GPIO、设置方向、输出使能、控制GPIO输出值。
在这里插入图片描述

/*
 * helloworld.c: simple test application
 *
 * This application configures UART 16550 to baud rate 9600.
 * PS7 UART (Zynq) is not initialized by this application, since
 * bootrom/bsp configures it to baud rate 115200
 *
 * ------------------------------------------------
 * | UART TYPE   BAUD RATE                        |
 * ------------------------------------------------
 *   uartns550   9600
 *   uartlite    Configurable only in HW design
 *   ps7_uart    115200 (configured by bootrom/bsp)
 */

#include <stdio.h>
#include "platform.h"
#include "xil_printf.h"
#include "xgpiops.h"
#include "sleep.h"

#define GPIO_DEVICE_ID		XPAR_XGPIOPS_0_DEVICE_ID

/*
 * The following are declared globally so they are zeroed and can be
 * easily accessible from a debugger.
 */
XGpioPs Gpio;	/* The driver instance for GPIO Device. */

int main()
{
	init_platform();

	int Status;
	XGpioPs_Config *ConfigPtr;

	print("Hello World\n\r");
	/* Initialize the GPIO driver. */
	ConfigPtr = XGpioPs_LookupConfig(GPIO_DEVICE_ID);

	Status = XGpioPs_CfgInitialize(&Gpio, ConfigPtr,
			ConfigPtr->BaseAddr);
	if (Status != XST_SUCCESS) {
		return XST_FAILURE;
	}

	/*
	 * Set the direction for the pin to be output and
	 * Enable the Output enable for the LED Pin.
	 */
	XGpioPs_SetDirectionPin(&Gpio, 0, 1);
	XGpioPs_SetDirectionPin(&Gpio, 13, 1);
	XGpioPs_SetOutputEnablePin(&Gpio, 0, 1);
	XGpioPs_SetOutputEnablePin(&Gpio, 13, 1);

	while(1){
		/* Set the GPIO output to be low. */
		XGpioPs_WritePin(&Gpio, 0, 0x0);
		XGpioPs_WritePin(&Gpio, 13, 0x1);
		sleep(1) ;
		/* Set the GPIO output to be high. */
		XGpioPs_WritePin(&Gpio, 0, 0x1);
		XGpioPs_WritePin(&Gpio, 13, 0x0);
		sleep(1) ;
	}

	cleanup_platform();
	return 0;
}

点击运行
在这里插入图片描述

在这里插入图片描述
五.按键中断
前面介绍了MIO作为输出控制LED灯,这里利用MIO作为按键控制LED灯。

中断寄存器:
INT_MASK:中断掩码
INT_DIS:中断关闭
INT_EN:中断使能
INT_TYPE:中断类型,设置电平敏感还是边沿敏感
INT_POLARITY:中断极性,设置低电平或下降沿还是高电平或上升沿
INT_ANY:边沿触发方式,需要INT_TYPE设置为边沿敏感才能使用

设置中断产生方式需要INT_TYPE、INT_POLARITY、INT_ANY配合使用。

在这里插入图片描述

在原理图中可以看到PS端的按键接在了MIO50和MIO51上,本实验采用MIO50。

在这里插入图片描述
在这里插入图片描述
六、本实验设计为按下按键LED灯亮 再按下LED灯灭
主程序设计流程:
GPIO初始化->设置按键和LED方向->设置产生中断方式->设置中断->打开中断控制器->打开中断异常->打开GPIO中断->判断KEY_FLAG值,是1,写LED->查询中断状态寄存器->判断状态->清除中断->设置KEY_FLAG值。
七、新建SDK工程
在这里插入图片描述
定义PS按键编号为50,PS LED为0

在这里插入图片描述

在main函数中,设置LED和按键,将按键中断类型设置为上升沿产生中断。在本实验中,即按键信号的上升沿产生中断。
在这里插入图片描述
代码:

/******************************************************************************
*
* Copyright (C) 2009 - 2014 Xilinx, Inc.  All rights reserved.
*
* Permission is hereby granted, free of charge, to any person obtaining a copy
* of this software and associated documentation files (the "Software"), to deal
* in the Software without restriction, including without limitation the rights
* to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
* copies of the Software, and to permit persons to whom the Software is
* furnished to do so, subject to the following conditions:
*
* The above copyright notice and this permission notice shall be included in
* all copies or substantial portions of the Software.
*
* Use of the Software is limited solely to applications:
* (a) running on a Xilinx device, or
* (b) that interact with a Xilinx device through a bus or interconnect.
*
* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL
* XILINX  BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
* WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF
* OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
* SOFTWARE.
*
* Except as contained in this notice, the name of the Xilinx shall not be used
* in advertising or otherwise to promote the sale, use or other dealings in
* this Software without prior written authorization from Xilinx.
*
******************************************************************************/

/*
 * helloworld.c: simple test application
 *
 * This application configures UART 16550 to baud rate 9600.
 * PS7 UART (Zynq) is not initialized by this application, since
 * bootrom/bsp configures it to baud rate 115200
 *
 * ------------------------------------------------
 * | UART TYPE   BAUD RATE                        |
 * ------------------------------------------------
 *   uartns550   9600
 *   uartlite    Configurable only in HW design
 *   ps7_uart    115200 (configured by bootrom/bsp)
 */

#include "xparameters.h"
#include "xscugic.h"
#include "xgpiops.h"
#include "xil_printf.h"
#include "xil_exception.h"

/* GPIO paramter */
#define MIO_ID          XPAR_PS7_GPIO_0_DEVICE_ID
#define INTC_DEVICE_ID	XPAR_SCUGIC_SINGLE_DEVICE_ID
#define KEY_INTR_ID     XPAR_XGPIOPS_0_INTR
#define PS_KEY_MIO      50
#define PS_LED_MIO      0

#define GPIO_INPUT      0
#define GPIO_OUTPUT     1

int key_flag ;
XGpioPs GPIO_PTR ;

XScuGic INTCInst;


int IntrInitFuntion(XScuGic *InstancePtr, u16 DeviceId, XGpioPs *GpioInstancePtr);
void GpioHandler(void *CallbackRef);

int main()
{
	XGpioPs_Config *GpioConfig ;
	int Status ;
	int key_val  = 0 ;

	key_flag = 0 ;

	/*
	 * Initialize the gpio.
	 */
	GpioConfig = XGpioPs_LookupConfig(MIO_ID) ;
	Status = XGpioPs_CfgInitialize(&GPIO_PTR, GpioConfig, GpioConfig->BaseAddr) ;
	if (Status != XST_SUCCESS)
	{
		return XST_FAILURE ;
	}
	/*
	 * Set the direction for the pin to be output and
	 * Enable the Output enable for the LED Pin.
	 */
	XGpioPs_SetDirectionPin(&GPIO_PTR, PS_LED_MIO, GPIO_OUTPUT) ;
	XGpioPs_SetOutputEnablePin(&GPIO_PTR, PS_LED_MIO, GPIO_OUTPUT) ;
	/*
	 * Set the direction for the pin to be input.
	 * Set interrupt type as rising edge and enable gpio interrupt
	 */
	XGpioPs_SetDirectionPin(&GPIO_PTR, PS_KEY_MIO, GPIO_INPUT) ;
	XGpioPs_SetIntrTypePin(&GPIO_PTR, PS_KEY_MIO, XGPIOPS_IRQ_TYPE_EDGE_RISING) ;
	XGpioPs_IntrEnablePin(&GPIO_PTR, PS_KEY_MIO) ;
	/*
	 * sets up the interrupt system
	 */
	Status = IntrInitFuntion(&INTCInst, MIO_ID, &GPIO_PTR) ;
	if (Status != XST_SUCCESS)
		return XST_FAILURE ;

	while(1)
	{
		if (key_flag)
		{
			XGpioPs_WritePin(&GPIO_PTR, PS_LED_MIO, key_val) ;
			key_val = ~key_val ;
			key_flag = 0 ;
		}

	}

	return 0 ;
}


int IntrInitFuntion(XScuGic *InstancePtr, u16 DeviceId, XGpioPs *GpioInstancePtr)
{
	XScuGic_Config *IntcConfig;
	int Status ;
	/*
	 * Initialize the interrupt controller driver so that it is ready to
	 * use.
	 */
	IntcConfig = XScuGic_LookupConfig(INTC_DEVICE_ID);

	Status = XScuGic_CfgInitialize(InstancePtr, IntcConfig, IntcConfig->CpuBaseAddress) ;
	if (Status != XST_SUCCESS)
		return XST_FAILURE ;

	/*
	 * set priority and trigger type
	 */
	XScuGic_SetPriorityTriggerType(InstancePtr, KEY_INTR_ID,
			0xA0, 0x3);
	/*
	 * Connect the device driver handler that will be called when an
	 * interrupt for the device occurs, the handler defined above performs
	 * the specific interrupt processing for the device.
	 */
	Status = XScuGic_Connect(InstancePtr, KEY_INTR_ID,
			(Xil_ExceptionHandler)GpioHandler,
			(void *)GpioInstancePtr) ;
	if (Status != XST_SUCCESS)
		return XST_FAILURE ;

	/*
	 * Enable the interrupt for the device.
	 */
	XScuGic_Enable(InstancePtr, KEY_INTR_ID) ;

	Xil_ExceptionInit();

	Xil_ExceptionRegisterHandler(XIL_EXCEPTION_ID_INT,
			(Xil_ExceptionHandler)XScuGic_InterruptHandler,
			InstancePtr);
	Xil_ExceptionEnable();

	return XST_SUCCESS ;

}


void GpioHandler(void *CallbackRef)
{
	XGpioPs *GpioInstancePtr = (XGpioPs *)CallbackRef ;
	int Int_val ;

	Int_val = XGpioPs_IntrGetStatusPin(GpioInstancePtr, PS_KEY_MIO) ;
	/*
	 * Clear interrupt.
	 */
	XGpioPs_IntrClearPin(GpioInstancePtr, PS_KEY_MIO) ;
	if (Int_val)
		key_flag = 1 ;
}

烧写程序,观察现象在这里插入图片描述
在这里插入图片描述

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: cource_s1_alinx_zynq(ax7xxx)开发平台基础教程vx.xx是一门关于使用AX7xxx系列Zynq芯片的开发平台的基础教程。这门课程主要面向初学者,提供了使用该开发平台的基本知识和技能。 在这门课程中,学习者将学习如何设置开发环境和安装必要的软件。他们将了解如何使用AX7xxx开发板,并学习如何连接外部设备,如显示器和键盘。学习者还将学习如何使用软件工具,如Vivado和SDK,来开发和调试他们的应用程序。 课程还将介绍Zynq芯片的架构和功能。学习者将学习如何配置和编程处理系统(PS)和可编程逻辑(PL)部分。他们将了解如何使用PS和PL之间的接口进行通信,并学习如何配置和使用Zynq芯片的外围设备,如GPIO,UART和SPI。 在课程的实践部分,学习者将完成一些小型项目来应用他们所学的知识。他们将开发一些简单的应用程序,如LED闪烁和按键检测,并学会如何使用中断来处理外部事件。学习者还将学习如何使用AX7xxx开发平台的嵌入式软件库,如FreeRTOS和lwIP,来开发更复杂的应用程序。 总体而言,cource_s1_alinx_zynq(ax7xxx)开发平台基础教程vx.xx是一门全面且实用的课程,旨在帮助初学者快速上手使用AX7xxx系列Zynq芯片的开发平台。通过学习这门课程,学员将掌握开发环境设置、软件工具使用、Zynq芯片架构和功能、外围设备配置和使用等基本技能,能够开发出简单到复杂的应用程序。 ### 回答2: cource_s1_alinx_zynq(ax7xxx)开发平台基础教程vx.xx是一本关于使用Alinx的Zynq(ax7xxx)开发平台进行开发的基础教程。该教程的版本号为vx.xx。 这本教程旨在帮助开发人员了解如何使用Alinx的Zynq开发平台进行项目开发。Zynq是赛灵思公司推出的一款SoC(系统级芯片),它集成了ARM处理器和可编程逻辑(FPGA),可以同时运行软件和硬件设计。Alinx是一家专注于嵌入式系统开发的公司,他们提供了一系列的开发板和解决方案。 教程从基础概念开始介绍,例如Zynq的架构和功能。然后,教程详细解释了如何设置开发环境,包括安装必要的开发工具和驱动程序。接下来,教程向读者展示了如何开始一个项目,包括创建工程、导入IP核和配置FPGA。 教程中还包含了一些实际的示例项目,以帮助读者更好地理解并实践所学知识。这些项目涵盖了不同的应用领域,如图像处理、通信和控制等。读者可以根据自己的兴趣和需求选择适合的项目进行学习和仿真。 此外,教程还提供了一些调试技巧和常见问题的解答,以帮助读者克服在开发过程中可能遇到的困难和挑战。最后,教程还提供了进一步学习资料的推荐,如文档、视频教程和论坛等。 总之,cource_s1_alinx_zynq(ax7xxx)开发平台基础教程vx.xx是一本介绍如何使用Alinx的Zynq开发平台进行项目开发的教程。它适合那些希望了解Zynq平台基础知识和进行项目开发的读者。无论是初学者还是有经验的开发人员,都可以从中获得有益的指导和实践经验。 ### 回答3: cource_s1_alinx_zynq(ax7xxx)开发平台基础教程vx.xx是一套关于alinx Zynq系列(ax7xxx)开发平台的基础教程。Zynq系列开发平台是Xilinx推出的一种基于ARM架构的SoC(System-on-Chip)解决方案,它集成了ARM处理器和Xilinx的FPGA器件,可以在单一芯片上同时实现高性能的软件处理和灵活的硬件设计。这大大简化了嵌入式系统的设计流程,并提高了系统的灵活性和性能。 该教程主要介绍如何使用cource_s1_alinx_zynq(ax7xxx)开发平台进行软硬件协同设计。首先,它会介绍Zynq系列芯片的基本架构和硬件资源,使学习者能够了解芯片的基本功能和特性。然后,教程会详细介绍如何进行软件设计和硬件设计。对于软件设计部分,教程会涵盖Linux操作系统的移植、设备驱动程序的开发和应用软件的开发等内容。对于硬件设计部分,教程会介绍如何使用Xilinx的Vivado软件进行FPGA的逻辑设计和约束编写。此外,教程还会介绍如何进行软硬件协同设计,包括FPGA与ARM之间的数据传输和通信。 通过学习cource_s1_alinx_zynq(ax7xxx)开发平台基础教程,学习者可以掌握Zynq系列芯片的基本知识,了解软硬件协同设计的流程和方法,掌握基本的软硬件设计技巧,能够进行Zynq系列开发平台的应用开发。这对于从事嵌入式系统设计和开发的工程师来说是非常重要的,可以提高工作效率和开发质量,推动嵌入式系统的发展。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值