33_ZYNQ7020计数器50Mhz,LED以500ms翻转闪烁

设计一个计数器,让开发板上的LED以500ms翻转一次。
开发板上的晶振为50MHz,也就是说时钟的周期是20ns,则可以计算出
500ms = 500_000_000ns/20ns=25_000_000,则需要计数器计数25_000_000次,至少需要一个25位的计数器(255>25_000_000?224)且每当计数次数到达需要清零并重新计数。
25位就是2的25次方
在这里插入图片描述
计数器模块

在这里插入图片描述
在这里插入图片描述

module counter(
			Clk50M,
			Rst_n,
			led
		);

	input Clk50M;	//系统时钟,50M
	input Rst_n;	//全局复位,低电平复位
	
	output reg led;	//led输出
	
	reg [24:0]cnt;	//定义计数器寄存器

    //计数器计数进程	
	always@(posedge Clk50M or negedge Rst_n)
	if(Rst_n == 1'b0)
		cnt <= 25'd0;
	else if(cnt == 25'd24_999_999)
	//else if(cnt == 25'd24_999) //仅为测试
		cnt <= 25'd0;
	else
		cnt <= cnt + 1'b1;

    //led输出控制进程
	always@(posedge Clk50M or negedge Rst_n)
	if(Rst_n == 1'b0)
		led <= 1'b1;
	else if(cnt == 25'd24_999_999)
	//else if(cnt == 25'd24_999)  //仅为测试
		led <= ~led;
	else
		led <= led;

endmodule

在这里插入图片描述
在这里插入图片描述

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值