数字时钟设计verilog_【硬见小百科】数字电子时钟电路图设计原理

bf32dd7f32cf334adb965296f52cbe7f.png

石英晶体振荡器和六级十分频器组成标准秒发生电路。其中“非”门用作整形以进一步改善输出波形。利用二-十计数器的第四级触发器Q3端输出脉冲频率 是计数脉冲的1/10,构造一级十分频器。如果石英晶体振荡器的震荡频率为1MHz,则经六级十分频后,输出脉冲的频率为1Hz,即周期为1s,即标准秒脉冲。

       标准秒脉冲进入秒计数器进行六十分频后,得出分脉冲;分脉冲进入分计数器再经六十分频后得出时脉冲;时脉冲进入时计数器。时、分、秒各计数器经译码显 示出来。最大显示值为23小时59分59秒,再输入一个脉冲后,显示复位成零。比如,计数器可选74LS161芯片、译码器可选74LS248、显示器可选LC5011-11。

392cb73d683a8187f1c680053aaead17.png

   校“时”和校“分”的校准电路是相同的,今以校“分”为例。“与非”门G1、G2、G3构成一个二选一电路。正常计时时,通过基本RS触发器打开“与 非”门G1而封闭G2门,这样秒计数器输出的脉冲可经G1、G3进入分计数器,而此时G2由于一个输入端为0,校准用的秒脉冲进不去。在校准“分”时,按 下开关S1,情况正好适反:G1被封门而G2打开,标准秒脉冲直接进入分计数器进行快速校“分”。

以上所有信息仅作为学习交流使用,不作为任何学习和商业标准。若您对文中任何信息有异议,欢迎随时提出,谢谢! 

关于云创硬见

云创硬见是国内最具特色的电子工程师社区,融合了行业资讯、社群互动、培训学习、活动交流、设计与制造分包等服务,以开放式硬件创新技术交流和培训服务为核心,连接了超过30万工程师和产业链上下游企业,聚焦电子行业的科技创新,聚合最值得关注的产业链资源, 致力于为百万工程师和创新创业型企业打造一站式公共设计与制造服务平台。

57278edaafaa2ad087d8621e652eebc3.png

d0cfded2b6b01a74bd4b3dae2412ba10.png

数字时钟是FPGA的常见应用之一,下面介绍一种基于FPGA的数字时钟设计Verilog代码如下: ```verilog module clock ( input clk, output reg [3:0] hour, output reg [5:0] minute, output reg [5:0] second ); reg [25:0] count; always @(posedge clk) begin if (count == 0) begin if (second == 5'hf) begin second <= 0; if (minute == 6'hf) begin minute <= 0; if (hour == 4'h9) begin hour <= 0; end else begin hour <= hour + 1; end end else begin minute <= minute + 1; end end else begin second <= second + 1; end end count <= count + 1; end endmodule ``` 该代码实现了一个时、分、秒都可以计时的数字时钟,其中输入时钟信号为 `clk`,每秒钟产生一个上升沿。输出信号为时 `hour`,分 `minute`,秒 `second`,采用BCD码表示。时钟使用计数器实现,计数器的值即为 `count`。当 `count` 计数至 `0` 时,秒 `second` 加一,如果秒数为 `59`,则重置为 `0`,并将分 `minute` 加一,以此类推,直到时 `hour` 达到 `24`,重新归零。在该代码中,使用了三个计数器,分别用于计时时、分、秒,实现了一个较为简单的数字时钟。 在FPGA的开发板上实现该数字时钟,需要将 Verilog 代码综合成电路,并配置到FPGA芯片中。同时,还需要连接时钟信号到开发板上的时钟引脚,以保证时钟信号的稳定。通过数码管、LCD显示等方式,可以将时、分、秒数字显示出来,完成数字时钟设计
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值