systemverilog语法_system verilog中的process类分析

在systemverilog语法中,对进程的控制主要依靠 fork … join/join_none/join_any来完成。使用这三个进程控制语句,基本上可以完成绝大部分控制功能。

不过这三个进程不能满足日益复杂的验证需求,systemverilog语法中还提供了额外的一个process进程类,该类能够控制上面三个进程,结合peocess类和fork 进程,可以实现复杂的模型。首先来看一下process类的定义。

20d2e22068dfbad08dbaf217178fbf6a.png

以下是对process类的解释。

a57afeaffe7c206e159c90ce5d0200f5.png

process类最大的优点就是可以控制fork jion/any/none的过程,通过process类的自带的任务实现对fork进行的挂起,等待,恢复,杀死的复杂控制。从而实现更复杂,更高级的建模。

在《IC验证UVM testcase是怎么run起来的》,最后分析到uvm_phase类,如过进一步分析,uvm_phase的控制最终是process类的控制。

#ic验证后续文章我们进步分析。

  • 0
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值