fsdb波形显示多维数组

1 篇文章 0 订阅
1 篇文章 1 订阅

在验证环境中或者设计代码中经常会使用到多维数组,在定位问题时便需要查看多维数组中的内容.默认情况下,使用vcs命令生成的波形中是不会显示多维数组的内容,此时便需要手动添加命令来显示多维数组内容.
生成的是fsdb文件时,用如下命令即可:$fsdbDumpMDA
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值