电力电子转战数字IC20220527day11(2)——伪随机数生成器[线性反馈移位寄存器]

1. 什么是伪随机数?

伪随机数是用确定性的算法计算出来自 [0,1]均匀分布的随机数序列。 并不真正的随机,但具有类似于随机数的统计特征,如均匀性、独立性等。 在计算伪随机数时,若使用的初值(种子)不变,那么伪随机数的数序也不变。

2. 什么是线性反馈移位寄存器?

给定前一状态的输出,将该输出的 线性函数 再用作输入的移位寄存器。. 异或运算是最常见的单比特线性函数:对寄存器的某些位进行异或操作后作为输入,再对寄存器中的各比特进行整体移位


【数字IC手撕代码】Verilog伪随机数生成器|线性反馈移位寄存器|题目|原理|设计|仿真_myhhhhhhhh的博客-CSDN博客_线性反馈移位寄存器verilog代码Verilog伪随机数生成器[线性反馈移位寄存器]前言题目原理设计仿真分析https://blog.csdn.net/weixin_43698385/article/details/123315970

 3.移位寄存器

每个clk上升沿到来时都右移一位

4.反馈回路

移出去的位接回本来需要补0的最高位

5.再加点异或操作,就可以形成伪随机数了。

目的:获得图示的伪随机数生成器

逻辑:最低位和0异或得到最高位,最高位右移给到第4位,第4位和最低位异或得到第3位,第3位右移给到第2位,第2位右移给到最低位

方案:挨个非阻塞赋值

需要注意的地方是,这里需要很长的时间来看出序列的周期性,所以data的值直接给定

module prnum (clk,rst,number);
//input [4:0] data;
input clk;
input rst;
output number;

reg [4:0] datareg;

always @(posedge clk or negedge rst)
begin
	if(!rst)
	datareg<=5'b11110;
	else
	begin
	datareg[4]<=datareg[0] ^ 5'd0;
	datareg[3]<=datareg[4];
	datareg[2]<=datareg[3] ^ datareg[0];
	datareg[1]<=datareg[2];
	datareg[0]<=datareg[1];
	end
end

assign number=datareg[0];
endmodule 
`timescale 1ns/1ps
module prnumtest;
//reg [4:0] data;
reg clk;
reg rst;
wire number;

prnum u1( .clk(clk), .rst(rst), .number(number));
always #5 clk=!clk;
//always #9.99 data=$random;
initial
begin
clk=0;rst=1;
#5 rst=0;
#10 rst=1;
#2000 $stop;
end 
endmodule 

 

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值