Verilog学习笔记四(时序逻辑,计数器和伪随机码发生器)

一、计数器 

verilog代码:

//2023/3/28 lzp
//计数器
`timescale 1ns/10ps
module counter(clk, reset, y);
input clk, reset;
output[7:0] y;

reg[7:0] y; //触发器定义成reg型变量
wire[7:0] sum; //assign赋值,wire型变量

assign sum=y+1;
always@(posedge clk or negedge reset)
if(~reset) begin
    y<=0; //reset为低电平时y寄存器复位
end
else begin
    y<=sum;
end
endmodule

//计数器testbench
module counter_tb;
//参数定义
`define CLK_PERIORD		10		//时钟周期设置为10ns(100MHz)	

reg clk, reset;
wire[7:0] y;

counter counter(.clk(clk), .reset(reset), .y(y));

//时钟和复位初始化、复位产生
initial begin
    clk<=0;
    reset<=0;
    #100;
    reset<=1;
    #100;
    $stop;
end

//时钟产生
always #(`CLK_PERIORD/2) clk = ~clk;
	
//测试激励产生
//initial begin

//end

endmodule

波形: 

 

二、伪随机码发生器

 

 代码:

//2023/3/28 lzp
//伪随机数发生器
`timescale 1ns/10ps
module random(clk, reset, y);
input clk, reset;
output y;

reg[3:0] d;

assign y=d[0];

always@(posedge clk or negedge reset)
if(~reset) begin
    d<=4'b1111; //reset为低电平时y寄存器复位
end
else begin
    d[2:0]<=d[3:1];
    d[3]<=d[3]+d[0];
end
endmodule

//计数器testbench
module random_tb;
//参数定义
`define CLK_PERIORD		10		//时钟周期设置为10ns(100MHz)	

reg clk, reset;
wire y;

random random(.clk(clk), .reset(reset), .y(y));

//时钟和复位初始化、复位产生
initial begin
    clk<=0;
    reset<=0;
    #100;
    reset<=1;
    #1000;
    $stop;
end

//时钟产生
always #(`CLK_PERIORD/2) clk = ~clk;
	
//测试激励产生
//initial begin

//end

endmodule

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值