zynq网络时钟控制寄存器_MYIR-ZYNQ7000系列-zturn教程(8)-PS给PL时钟点亮LED

本文介绍了如何在Vivado 2017.1环境下,利用ZYNQ核的时钟控制寄存器,通过FPGA实现流水灯功能。通过新建Block Design,添加ZYNQ IP,配置并自动布线,然后生成顶层文件和综合文件,最终制作BOOT.bin启动文件,实现ZYNQ给PL部分提供时钟并点亮LED。
摘要由CSDN通过智能技术生成

开发板环境:vivado 2017.1 ,开发板型号xc7z020clg400-1,这里用的这个工程是“从新建工程到下载bit”这个工程里的流水灯,

这个工程没有用z-turn 板提供的时钟而且用ZYNQ核提供的时钟给到FPGA点亮LED灯。

Step1  这里是已经新建好的FPGA流水灯如下图所示

`timescale 1ns / 1ps

//

// Company:

// Engineer:

//

// Create Date: 2017/08/28 17:53:04

// Design Name:

// Module Name: user_led

// Project Name:

// Target Devices:

// Tool Versions:

// Description:

//

// Dependencies:

//

// Revision:

// Revision 0.01 - File Created

// Additional Comments:

//

//

module user_led

(

i_rst_n,

led

);

input i_rst_n;

output [2:0] led;

wire i_clk;

reg [25:0]cnt;

reg flag;

design_1_wrapper u1 //将PS部分50M的时钟例化到PL中

(

.FCLK_CLK0(i_clk)

);

always@(posedge i_clk or negedge i_rst_n)

if(i_rst_n==1'b0)

cnt <= 26'd0;

else if(cnt==26'd49999999)

cnt <= 26'd0;

else

cnt <= cnt + 1'b1;

always@(posedge i_clk or negedge i_rst_n)

if(i_rst_n==1'b0)

flag <= 1'b0;

else if(cnt==26'd49999999)

flag <= ~flag;

assign led[0] = flag;

assign led[1] = flag;

assign led[2] = flag;

e

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值