ZYNQ的时钟系统及fclk时钟组约束

1、时钟系统可参考链接Zynq PS Clock System
以上主要是针对于zynq7000系列芯片的时钟系统介绍,参考文档是ug585.
https://www.xilinx.com/search/site-keyword-search.html#q=ug585&firstQueryCause=searchFromLink&firstQueryMeta={%22JSUIVersion%22:%222.10101.0%3B2.10101.0%22}
ZYNQ 时钟子系统
2、针对于zynq ultral scale 系列芯片,可参考文档ug1085
3、关于ps端提供的时钟是否需要进行时钟约束
ZYNQ PS端最多可以分配四个时钟供给PL端使用,通过PS端供给PL使用的时钟,在最后添加约束文件时,只需要对功能I/O进行约束,不需要再关注时钟约束的问题。
但是如果需要做时钟组约束,可参考链接
怎么对ZYNQ的FCLK做时钟组约束

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值