verilog将100mhz分频为1hz_verilog—分频器设计

常用分频器设计

(含

0.5hz

1hz

2hz

100hz

1khz

100khz

1MHZ

)

一、

原理图

二、

程序(输入频率为

50MHZ

)

module divclk(clk,div05hz,div1hz,div2hz,div100hz,div1khz,div10khz,div1mhz);

input clk;

output div05hz,div1hz,div2hz,div100hz,div1khz,div10khz,div1mhz;

reg div05hz,div1hz,div2hz,div100hz,div1khz,div10khz,div1mhz;

reg[4:0] count1;

reg[14:0] count2;

reg[8:0] count3;

reg[7:0] count4;

reg[2:0] count5;

reg[12:0] count6;

reg[10:0] count7;

always @(posedge clk)

begin

if(count1=='d25)

  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值