verilog将100mhz分频为1hz_50m 分频器设计——50MHZ(含verilog程序)

本文介绍了一个使用Verilog HDL设计的50M分频器,该设计能够将50MHz时钟信号分频为1Hz的秒脉冲。通过一个10分频器的示例代码,展示了如何实现这一功能,并提供了完整的Verilog程序。该程序经过验证,适用于实际的EDA实验需求。
摘要由CSDN通过智能技术生成

323b89efe64bfc62e92cfc2e4ceecbd2.png

50m 分频器设计——50MHZ(含verilog程序)

分频器设计

一、实验目的

1、熟悉分频器的原理;

2、掌握采用Verilog HDL语言设计分频器的方法;

3、进一步学习利用VerilogHDL语言进行层次设计的方法。(wWW.niubb.NeT)

二、实验内容

1、采用Verilog语言设计一个十分频器,记录Verilog程序;

2、对十分频器进行功能仿真,观察仿真波形;

3、仿真没有问题后,将分频比改为50000000,实现一个50M分频器。利用此分频器和开发板上的50MHz时钟信号,得到1Hz的秒脉冲信号,完成如图1-2.28所示的秒计数器。

78196e2cb056d658953091bbc014f0df.png

50m 分频器设计——50MHZ(含verilog程序)

(拨码开关)

程序设计如下:

module fenp(clk_out,clk_in,reset);

output clk_out;

input clk_in;

input reset;

reg cnt;

reg clk_out;

50m 分频器设计——50MHZ(含verilog程序)

always@(posedge clk_in or posedge reset) begin

if(reset)

begin

cnt<=0;

clk_out<=0;

end

else

begin

if(cnt==24999999)

begin

clk_out<=!clk_out;

cnt<=0;

end

else

cnt<=cnt+1;

end

end

endmodule

本程序经验证,完全可以实现实验要求 。(WwW.niubb.Net)文章来自某大学EDA实验课

50m 分频器设计——50MHZ(含verilog程序)[wWW.NIUBB.NEt]

f7f01eabbe49235cc88351a6a6b2cd35.png

50m 分频器设计——50MHZ(含verilog程序)

免责申明:本栏目所发资料信息部分来自网络,仅供大家学习、交流。我们尊重原创作者和单位,支持正版。若本文侵犯了您的权益,请直接点击提交联系我们,立刻删除!

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值