verilog将100mhz分频为1hz_分频程序(50Mhz-1Hz)

数字电路课程设计功能款程序

library ieee; use ieee.std_logic_1164.all; entity fen is port (clk:in std_logic; q: out std_logic); end fen; architecture fen_arc of fen is begin process(clk) variable cnt: integer range 0 to 24999999; variable x: std_logic; begin if clk'event and clk = '1' then if cnt<24999999 then cnt:=cnt+1; else cnt:=0; x:= not x; end if; end if; q<=x; end process; end fen_arc;

该程序是将50MHz的频率变成1Hz的频率,若要分成其他频率的信号,自己修改程序。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值