【verilog语法】二维数组


verilog中二维数组使用有些限制,比如不能作为module的输入输出port(如果确实有需要,只能用将等效为展开的二维数组的一维数组来代替了),另外二维数据初始化时,目前看只能用读入文件的方法,或者用generate的方法对二位数据进行初始化。


  1. 二维数组作为输入输出port报错

作为输入输出port的一个例子,这里我写了一个有传递参数的task,用来做一些数据初始化(根据传递参数):

task s00_axi_write;

input           en_write;

input [31:0] wdat [255:0];

begin

@(negedge clk);

if(en_write)

s00_axi_wdat <= wdat[0];

end

endtask

如上面一个简单的task,输入端口中有一个二维数组,用modelsim编译时,会报错误,这个是工具对语法不支持造成的,修改的简单方法是把二维数组输入改成一维数据传递进来,修改后如下:

task s00_axi_write;

input           en_write;

input [32*256-1 :0] wdat ;

begin

@(negedge clk);

if(en_write)

s00_axi_wdat <= wdat[32-1 : 0];

end

endtask


2.二维数组初始化


如果要对二维数组初始化,只能用read file从文本文件读进来的方式或者用generate 来对数组初始化;


用read file的方式如下(文本文件为2进制,每个数据占据一行,例如11001111):

reg [7:0] mem_2d [99:0];

initial begin

$readmemb("simu.txt", mem_2d);

end


用generate 的方式(相当于依次给每个元素赋值)如下:

wire [7:0] mem_2d[99:0];

generate

genvar i;

for(i=0;i<=99;i=i+1) begin : BLOCK0

assign mem_2d[8*(i+1) : 8*i] = i;

end

endgenerate

也许大家会认为可以用如下的for循环来初始化数组:

reg [7:0] mem_2d[99:0];

initial begin

for(i=0;i<=99;i=i+1) begin

mem_2d[i] <= i;

end

end

但实际modelsim编译时会报错误,会认为mem_2d[i]应该是一个已知数据,不能用变量。






  • 27
    点赞
  • 97
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值