二维数组定义存储器

在Verilog HDL中,可以用二维数组定义存储器。例如,假设需要一个32字节的8位存储器块,即此存储器共有32个存储单元,每个存储单元可以存储一个8位的二进制数。这样的存储器可以定义为 32×8 的数组,在Verilog语言中可以作如下变量声明:

reg [7:0] memory_array [31:0];

存储单元为 memory_array [0] ~ memory_array [31],每个存储单元都是8位的存储空间。

在读取时,可以用memory_array [13][3:0]直接读取第13号单元的低4位。

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

狮子座硅农(Leo ICer)

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值