左移寄存器vhdl_VHDL移位寄存器程序

LIBRARY ieee;

USE ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

ENTITY yiwei IS

PORT (CR,CP,SL,SR,D0,D1,D2,D3,S1,S0 : IN  STD_LOGIC;

Q0,Q1,Q2,Q3 : OUT STD_LOGIC);

END ENTITY yiwei;

ARCHITECTURE rtl OF yiwei IS

BEGIN

PROCESS(CR,CP) IS

VARIABLE q_0,q_1,q_2,q_3:STD_LOGIC;

begin

IF(CR='0')THEN

Q0<='0';

Q1<='0';

Q2<='0';

Q3<='0';

ELSIF(CP'EVENT AND CP='1') THEN

IF(S1='0' AND S0='1')THEN

q_0:=SR;

q_1:=q_0;

q_2:=q_1;

q_3:=q_2;

Q0<=q_0;

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值