华为硬件笔试 通用器件知识2_求职“笔试经”第十一弹:华为硬件逻辑岗

达尔闻求职笔试经系列专注解析各大公司经典笔试题,希望帮助到更多求职人,欢迎大家投稿。目前笔试经固定在每周二更新,如果大家有其他需求,可以进入达尔闻求职微信交流群添加妮姐微信:459888529,注明:求职

华为的这一套硬件逻辑岗的题目已经解析了很久了,终于快接近尾声了。

我们对剩下为数不多的题目进行了分类,上次预留的题目做过的同学也可以看出都是和HDL语言相关的语法题,而今天文末预留的7道题目则是和硬件相关的。 后面还有数字信号处理和C语言的题目,我们可以看出华为硬件逻辑实习岗的笔试题考察的内容还是很全面的。 上周推文里,还记得最后我们预留的题目吗?(点击这里查看>>)有100多名同学参与回答,今天的解析我们讲结合同学们回答的正确率,重点分析错误原因。 从最后统计的结果来看有些题目还是有很多同学选错,这说明了部分同学的基本功不够扎实哦。 但是不要怕 ,看了今天的解析后,希望做错的同学都能够记住以后再遇到就不会出错了,下面请看我们今天的解析。 20、 (正确率55%) Verilog HDL语法中,下面哪一个是错误的端口类型(   )。 (华为硬件逻辑实习岗) A output       B bi-directionaL C inout         D input              解析: 本题主要考察了对Verilog语言端口类型的认识 这道题的正确率过半了,但是选择C的人数也很多,占到了38%,为什么呢? 首先A选项和D选项是毫无疑问的,如果还有选错的同学,说明你还不会Verilog,是FPGA的门外汉,因为input输入和output输出是最常用的。 选C项的同学我想你写的代码还不够多,或者说是刚刚入门FPGA,见的比较少,不过inout确实用的也相对较少,但是如果你写过IIC的代码,一定知道inout怎么用。 定义为inout的端口表示该端口是双向口,既可以作为数据的输入端口也可以作为数据的输出端口 ,在Verilog中的使用方式如下: //--------------------------------- 01 module   test ( 02 input   wire    sel    ,    // 输入输出控制信号, sel 为 1 时双向数据总线向外输出数据, sel 为 0 时双向数据总线为高阻态可以向内输入数据 03 input   wire    data_out ,   // 由内部模块传来要发送给双向数据总线向外输出的数据 04 05 inout   wire    data_bus
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值