自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(38)
  • 收藏
  • 关注

原创 TMS320C6678开发工具包汇总分享(安装包+库+例程+美化工具)

博主整理了开发6678过程中用到的所有的工具包和官网例程,没有挂到CSDN让大家花钱下载,直接百度网盘无偿分享1、软件CCS5.5之前的版本是需要破解的,放了5.5的license在后面,之后的版本都是免费的了,不需要破解。稳定版本推荐使用5.5,内嵌了软件仿真功能,这个就很方便了 。博主使用到的最高版本是9.3.0.优点是可以在MemoryBrowser中动态查看浮点型数据,这个就很方便调试,但是砍掉了软仿的功能。所以推荐5.5+9.3结合使用链接:https://pan.baidu.com

2021-01-06 22:47:30 6576 21

原创 2021大疆硬件工程师一面(20200826)

一面电话面,死扣细节,十万个为什么,所以一定要特别清楚自己的项目,不放过每一个死角。面试官通过细问可以判断你的知识范围和深度,这一面挂的人比较多。

2020-09-08 21:28:21 3949

原创 【华为硬件工程师】2021提前批面经

技术一面平台: ZOOM手机版形式: 视频时长: 30min面试官: 一名中年男子,严肃1-2min自我介绍挑自己觉得做的最好的项目说一下画框图给他看(画完把纸对着屏幕让他截图)框图里的所有模块介绍画出各个模块的电路图说一下并联谐振运放各个元件的功能电机驱动原理TTL和CMOS高低电平范围画buck和boost拓扑,讲原理用三极管画反向器反问技术二面平台: ZOOM形式: 对面语音,我视频时长: 30min面试官: 男,听声音比较年轻自我介绍挑一个做的好

2020-08-27 09:20:19 4178 10

原创 【大疆2021校招】【硬件B卷】笔试题

时间:2020/08/16 19:00时长:60min题型:15单选+5多选+2简答难度:中等第二道大题是画电源的三种拓扑结构(升压,降压,升降压),并写出PWM模式下的电压输出关系式。

2020-08-22 20:49:45 10193 12

原创 CCS软件编译时Relocation Overflow Error报错解决方法

编译时结束会出现一堆警告(错误),内容大概是The 16-bit relocated address 0xFFFF is too large to encode in the 15-bit field.有警告就必须消除,否则极有可能在程序运行过程中出现不可预知的问题。警告的原因:在32位的指令结构中,一个时钟周期只能访问偏移地址范围内的内存,32位的寻址结构使用其中的15位来作为偏移地址,所以可以访问的内存空间共2^15=32k.这些内存空间中用来保存near型的变量。也就是near型变量可以在一个

2020-07-17 09:42:16 2653

原创 【华为2021校招】笔试题【通用硬件】

时间:2020/07/15 19:00时长:60min题型:40单选+5多选难度:中等考察范围:模电、数电、SI、EMC、电源、微机原理、计算机网络、材料

2020-07-15 20:57:09 38773 25

原创 Serdes原理

原文链接1.Serdes作用Serdes(Serializer-Deserializer)是串行器和解串器的简称。Serializer:串行器。将n bit并行数据串化为1 lane的高速串行数据。Deserializer:解串器。将高速串行数据恢复成n bit并行数据。2.为什么要用Serdes为了提高接口传输带宽,设计中经常采用并行总线设计。并行总线通过提高时钟速率和数据位宽来提高传输带宽。限制接口传输带宽主要有2个方面:时钟速率。它决定了发送和接收端的采样速率。随着时钟速率的提高,由

2020-07-05 17:27:39 1207

原创 Verilog HDL 程序的优劣判断指标

引用了Xilinx大学计划中关于程序优劣指标的描述Verilog HDL 程序设计首要指标是功能的完备性,达到设计要求,这是任何设计都必须完成的。其次,还包括“面积”、“速度”和功耗指标,是设计的深层次要求。从实用角度来讲,后者的重要性并不亚于功能完整性。在设计中,“面积”、“速度”和功耗之间并不是相互独立的,可以相互转换。下面对上述三个指标进行简单介绍。1.面积性能这里的“面积”主要是指设计所占用的 FPGA 逻辑资源数目,利用所消耗的触发器(FF)、查找(LUT)以及各类嵌入式硬核来衡量。由于 F

2020-07-05 11:00:29 3903

原创 FPGA为什么能实现逻辑运算

目录1.CPLD如何实现逻辑运算2.FPGA如何实现逻辑运算3.理解FPGA开发流程中的综合(Synthesis)4.如何判断语句是否可以综合首先回答题目问题:FPGA的逻辑结果不是算出来的,是查出来的。也就是说FPGA的逻辑功能实现是基于查找表的。1.CPLD如何实现逻辑运算CPLD,复杂可编程逻辑器件。逻辑阵列的每一个交叉点都可以通过编程实现导通从而实现与逻辑,乘积项选择矩阵可实现或逻辑。也就是CPLD的组合逻辑都是直接通过内部的与非门实现的。2.FPGA如何实现逻辑运算FPGA,现场可编程

2020-07-04 18:41:31 1802 1

原创 【招商银行2021校招】提前批笔试题【C++方向】

2020-06-23 17:15:28 1526

原创 【vivo 2021提前批】笔试+一面+二面【基带硬件】

目录笔试:(20200607)60min技术面:(20200609)30minHR面:(20200611)25min笔试:(20200607)60min这是其中两道题,当时有师弟帮着搜答案,发现其实绝大多数都是大学生考试网上的原题。。。下次记住提前充个会员,不然搜到原题看不到答案。时间有点不够,最后才想起来题目右边有个暂停按钮,应该在做题或者上传答案的时候暂停下来。。。8号晚上七点还没收到面试通知,以为凉了,没想到七点半发来了面试通知,要求在八点前预约好面试时间。。。幸亏手机在身边,不然错过时间

2020-06-20 10:49:31 3275 2

原创 MATLAB-GUI常用功能【常用弹窗的实现】

为了提示我们操作有没有结束,可以添加消息弹窗,这里介绍几种弹窗类型。1.警告弹窗实现代码:warndlg('数据生成成功.', '提示');%内容,标题可以改变弹窗字体颜色和大小opts = struct('WindowStyle','modal',... 'Interpreter','tex');warndlg('\fontsize{15}\color{red} 数据生成成功',... '提示', opts);2.错误弹窗语

2020-06-01 16:47:37 16558 3

原创 MATLAB-GUI常用功能【打开文件后,文件另存为..】

上节讲到可以通过按钮打开指定路径下的TXT文件(其他格式的可以更改代码实现),假如我们现在对打开的文本进行了数据处理,最后需要保存处理好的数据怎么实现呢?我们接着上节的界面进行设计1.再添加一个可编辑文本框和一个按钮,分别修改属性…2.再添加一个开始按钮,用来通知MATLAB开始数据处理3.界面效果图(最后再做美化)4.打开另存为的回调函数,添加代码(和上节一样,只需要更改相应的tag值即可)global savefilename;[Fnameh,Pnameh]=uigetfile('*.t

2020-06-01 15:53:25 5203 2

原创 MATLAB-GUI常用功能【通过点击按钮打开文件夹中的文件】

效果图:像普通的打开文件一样,点击打开,弹出文件选择界面,选择好确定后,文件路径和文件名显示在左侧的文本框中。实现方法1.添加一个可编辑文本框和按钮2.双击按钮,修改下图属性3.双击文本框,修改下图属性4.选中按钮,右键,打开回调函数(callback),添如下代码即可% --- Executes on button press in doaReadFile.function OpenFile_Callback(hObject, eventdata, handles)% hObje

2020-06-01 15:12:23 20646 7

原创 Verilog练习二【串行加法器】(附公式推导)

串行加法器由多个1位全加器串联构成,如下图所示,每个1位全加器包含3个输入和两个输出,其中c[i]是进位输出。根据上图,列出1位全加器的真值表:ABCiSoCo0000000110010100110110010101011100111111根据真值表,写出...

2020-04-30 23:04:19 3490

原创 Verilog练习一【奇数分频器】

练习:实现50%占空比的5分频//5分频,占空比为50%module clk_div_5(Clk_in, Rst_n, Clk_out); input Clk_in; input Rst_n; output Clk_out; reg [2:0] cnt, cnt1; reg clk_p, clk_n; parameter CNT_M = 3'd4,//N-1 ...

2020-04-29 12:22:59 940

转载 硬件知识扫盲一【UART是什么?rs232、rs422、rs485有什么区别?】

目录UARTRS232RS485RS422关于传输线匹配UART全称是通用异步收发传输器,可以实现全双工接收发送,是异步串行通信的总称,不表示具体的协议。RS232、RS422、RS485等都属于UART,但它们的接口协议、电气特性、传输速率和机械结构等各不相同。RS232接口的信号电平值较高,逻辑1电压范围是(+5~+15V),不兼容TTL电平,易损坏接口电路的芯片。传输速率较低,在...

2020-04-23 17:01:08 1842 1

原创 Verilog语法【repeat和task】

目录1.task2.repeat3.testbench使用举例1.task语法格式:task my_task;input a, b;inout c;output d, e;begin. . . // statements that perform the work of the task. . .c = foo1; // the assignments that initial...

2020-04-23 16:23:09 28967 4

原创 MATLAB【如何将EXCEL或者TXT文本中的离散数据绘制为三维图形】

说明:本文中的数据是以矩阵形式保存在文本中,以行数和列数作为xy轴,数据作为z轴进行绘图。如果三个维度是单独的文本,分别导入MATLAB即可。目录第一步:导入数据第二步:画图三维效果对比第一步:导入数据方式一:for tt = 1:1 filename = sprintf('测试数据\\Data%d.txt',tt);%生成文本名 fid_doa = fopen(filename...

2020-04-20 17:47:25 7879 6

原创 Verilog语法【参数化设计(parameter 和defparam)】

在 Verilog 语法中,可以实现参数化设计。所谓参数化设计,就是在一个功能模块中,对于一个常量,其值在不同的应用场合需要设置为不同的置,则将此值在设计时使用parameter 关键字声明,那么在上层模块例化使用该功能模块时,可以根据具体需求重新配置该常量的值,从而实现不同应用场合对对应常量的灵活调整。以下为使用 Verilog 设计的一个控制 LED 闪烁灯的模块代码:01 module ...

2020-04-14 19:26:13 5602 1

原创 Code Composer Studio(CCS)设置环境变量

1 打开个性化设置窗口2 设置环境变量名称并定位到文件目录3 选中工程名右键,点击preference,找到下面的目录,按照"${环境变量名}\下一级目录" 的格式添加环境变量。重新编译即可。...

2020-04-10 19:41:38 1673

原创 C语言读取txt文件使用feof函数判断文件结尾

之前做的一个项目需要读取一个文件中的数据,文件中的数据类型确定,大小不确定,每次读取完文件要计算出文件大小并传送给下位机。查了一下文件系统的函数,使用fscanf和feof就可以搞定这个小程序。feof函数原型:feof(FILE *stream);判断文件结尾,结尾返回非零值,否则返回零值。fscanf函数原型:int fscanf (FILE *stream, char *forma...

2020-04-07 11:46:49 2910

原创 quartus II【如何进行顶层设计】

1.使用原理图进行顶层设计1).首先设计好两个模块文件,在导航窗口Files下显示了已经设计好的文件2).分别选中每个文件,右键创建为元件3).创建块设计文件4).空白处右键insert或者单击箭头所指图标,也可以直接在空白处双击左键,调出元件库。自己创建的元件默认在project下显示。选中元件,确定。5).光标放在引脚末端会自动变为连线模式,按住左键拖动到下一个连接点松开,...

2020-04-05 14:38:18 49279 7

原创 关于互质阵和差分阵

阵列信号模型:X(t) = A*S(t)+N(t)协方差矩阵:Rxx = E{X(t)X(t)^H}互质阵:由两个子阵组成,子阵1由阵元个数为N,间距为M的均匀线阵组成;子阵2由阵元间距为N,阵元个数为2M-1的均匀线阵组成。其中M和N互为质数,所以叫互质阵。互质阵共2M+N-1个阵元,自由度2M+N-2,最多可分辨信源数为2M+N-2;差分阵差分阵如图二所示,也叫作差联合阵、差...

2020-04-05 13:49:25 6684 4

原创 MATLAB【如何将矩阵数据按照原矩阵格式保存成txt文本】

MATLAB中产生如下格式的数据1. savesave('data.txt','data','-ascii');生成如下txt(不是想要的结果)2. fprintfa.基本的用法fid=fopen('E:\data.txt','w');fprintf(fid,'%f ',data);保存后格式是一行b.换下面的方式保存 fid=fopen('E:\data.txt',...

2020-04-05 13:19:12 9552

原创 6678EMIF总结

EMIF特征:四个片选空间各64MB,组成256MB的异步地址寻址范围(不是全用)8位和16位数据宽度24位地址线,每个空间可寻址16M地址空间可编程配置的时序周期时钟为CPU/6不支持32位数据宽度不支持同步设备操作(DRAM、DDR)6678EMIF可以在以下两种模式下操作:WE strobe mode(we 选通模式):CE3的默认模式,其他空间不支持该模式。Sel...

2019-10-10 15:21:28 7758 5

原创 阵列信号处理知识概括总结

阵列信号处理写在前面波束形成技术DOA估计MUSIC(基于特征分解的多重信号分类法)波束扫描误差校正相干信源DOA估计写在前面在初步学习阵列信号处理后的一些概括性的总结分享给大家,有错误的地方欢迎批评指正。波束形成技术用一定形状的波束来通过有用信号或者期望方向的信号,而抑制不需要方向的信号,就是波束形成技术。波束形成分为:数据独立波束形成最优波束形成自适应波束形成普通波束形成...

2019-09-09 15:15:11 5386 3

原创 Visio2016绘制框图的基本操作方法

绘制框图一般只需要箭头和方框这两种元素。所以在形状栏调出【基本形状】和【连接符】就可以了。鼠标拖动形状到工作区,右键【设置形状格式】调出设置面板,可以进行相关设置,尤其是线段首尾的箭头。为了美观,对线段上的标注文字也要进行设置,如图:PS:使用Visio时的一个常用操作,绘制完框图后调整为自适应页面大小,便于输出为PDF查看。方法如下:1.打开Visio后在[文件]菜单[选项]菜单项...

2019-05-24 12:40:43 28443 2

原创 6713EDMA和EMIF是如何工作的?

外部总线的EMIF服务请求来自两个请求者:EDMA控制器外部共享存储设备控制器EDMA转换控制器(EDMATC)接收通道控制器(EDMACC)的转换请求(TR),触发EMIF接口开始数据传输。EMIF接收到EDMA的传输请求后,按照EMIF配置寄存器中配置好的值产生相应的(读或者写)接口时序,具体是读时序还是写时序,应该也是按照EDMA请求来判断的(根据源地址和目的地址可以区别出是读...

2019-05-24 12:11:41 1849

原创 Nandflash的地址分配以及multiplan操作

一、NandFlash的地址是如何分配的图一:K9NCG08(2GB)图二:S34ML16G(2GB)图一图二Page4KB+128B2KB+128BBlock6464Plan20488192Device42Nandflash没有地址线,靠8个IO口复用命令、地址和数据。不同的存储大小需要不同的地址宽度,总的地址分为多个8位...

2019-05-22 22:20:57 1571

原创 用VHDL编写testbench激励文件

最近在做nandflash的擦写,要用VHDL编写激励文件做仿真,之前一直用的Verilog,现在总结如何用VHDL语言编写testbench。library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;use IEEE.STD_LOGIC_ARITH.ALL;entity (测试平台名)isend...

2019-05-21 10:09:08 7594

原创 vivado2018【工程的建立以及原理图设计方法】

创建工程就不说了,直接从添加工程文件开始点击+号添加设计文件点击+号或者下方的create file文件类型为VHDL重复添加多个文件,finish编辑VHDL代码写了一个简单的逻辑门代码,注意语法,实体端口定义的最后一个端口没有分号。设置显示匹配内容列表关键字可以显示匹配列表,但是自定义的端口不会显示,这个没有quartus方便综合设计文件按F11或图中按钮,...

2019-05-18 15:54:43 14184 5

原创 vivado2018【波形仿真中运行时间的设置以及时长的测量方法】

运行时间设置在窗口的最上方的三个按钮,如下图所示时间测量鼠标左键单击起点位置,然后按住Shift再点击下一位置即可在下方看到时间宽度

2019-05-17 23:05:57 34098 5

原创 vivado2018【编辑器设置黑色背景】

在使用vivado时编代码时,默认的白色背景主题很不利于长时间观看,可以通过settings更改代码主题风格,因为没有专用的主题包可以使用,只能参考代码界流行的主题风格逐一进行设置,例如参考notepad++中的主题,我是参考CCS中的主题进行设置。【1】打开设置settings,将字体改为微软雅黑等宽字体,大小20,将背景修改为黑色,前景修改为白色,其他按图片进行修改,颜色都是下拉菜单中的参考...

2019-05-14 22:18:43 9975 3

原创 6713EDMA总结(四)

EDMA中几个重要的寄存器首先应该清楚,CIPR和通道号之间没有对应关系,只要TCINT=1,一旦传输完成,CIPR中的TCC位置位;对于CCER,只有TCC取8-11,且CCER对应的位置位才能开始新通道的传输,同时CIPR挂起中断。CCER——通道链使能寄存器。用于指定下一个被触发的通道,只对应8-11通道,TCINT=1,TCC=n时,如果当前通道传输完成,一旦n通道允许被链接,则开始...

2019-05-08 18:53:13 628

原创 6713EDMA总结(三)

接上节EDMA传输完成后进行下面两种操作之一:如果设置了转换完成中断(TCINT=1),在最后一个TR时,通道控制器除了向传输控制器发送TR,还发送TCC中的值,当传输结束时,传输控制器将TCC再次发回给通道控制器表明传输完成,通道控制器利用TCC的值触发另一个通道(如果CCER置位)并且在CIPR挂起中断信号(CIER决定是否产生EDMA_INT),chaining模式是不同通道之间相继触...

2019-05-08 18:46:31 848

原创 6713EDMA总结(二)

EDMA的地址更新模式(SUM和DUM)有以下几种:NONE:固定地址,就是不更新,往相同的地址传递数据;INC:increment,地址递增模式,递增大小取决于ESIZE的字节大小,例如ESIZE=00,也就是32位大小,是4个字节,那么下次地址更新为当前地址+4;DEC:decrease,地址递减模式,原理同上;IDX:索引模式,该模式只支持一维传输(1D-1D)。元素同步时(...

2019-05-07 22:05:42 657

原创 6713EDMA总结(一)

6713有16个中断号(通道),前四个为复位和EMI中断,其余的每个中断源都对应着若干中断源。中断通道就像检票口,中断源好比来自全国各地的乘客,每个乘客都要安检。在DSP中,规定某一个乘客从哪个检票口通过,并且要对该乘客进行安检,也就是检查所携带的中断寄存器的值,有标志则响应中断服务。6713为EDMA分配了256K的地址空间,其中包含2K字节的参数寄存器和252字节的控制寄存器。这里要分清楚...

2019-05-07 21:44:23 1561

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除