tb文件 vivado_【参赛手记一】:学习Vivado开发流程

本文介绍了如何使用Vivado 2013.3进行FPGA开发,从创建RTL Project到添加源文件、设置约束、选择目标器件、进行IP核浏览、行为仿真、综合和实现设计,最后生成Bitstream文件的过程。
摘要由CSDN通过智能技术生成

经过一番折腾终于把Vivado 2013.3安装完成了,并且在xilinx网站申请了试用Licenses,今天正式开始我的,Vivado使用之旅了。由于以前基本没有接触过FPGA的实际开发,因此上手Vivado对我来说还是有一定的难度的。

成功申请Licenses

下面就从熟悉Vivado流程开始,参考资料为ug888-vivado-design-flows-overview-tutorial.pdf文档。

vivado的开发流程基本上就是按照左侧的Flow Navigator进行的

图1:Vivado开发流程(Flow Navigator)

Vivado支持两种工程模式,一种是Project Mode另一种是Non-Project。Non-Project Mode类似于命令行模式。之所以有两种模式,是为了满足不同用户的需求。由于自我感觉桌面模式更人性化,因此我打算只学习Project Mode。下面的操作流程参照的是Vivado提供的ug888-vivado-design-flows-overview-tutorial.pdf文档。工程是基于Vivado提供的例程,工程文件可以在:\Xilinx\Vivado\2013.3\examples中找到。

一、创建工程文件,文件类型为RTL

1.选择创建RTL Project

2.为工程添加文件,文件目录为:/Vivado_Tutorial/Sources/hdl/

添加async_fifo.v, bft.vhdl, bft_tb

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值