tb文件 vivado_Vivado基础学习之三:仿真

本文介绍了如何在Vivado中进行仿真,包括新建仿真文件、编写TB文件内容、处理错误以及使用Vivado内置仿真器进行行为仿真。通过一个具体的FreDivider模块例子,展示了设置仿真时间和观察波形的过程。
摘要由CSDN通过智能技术生成

任务:仿真

目的:了解Vivado的仿真

步骤:

1.准备好带有源程序的工程

2.新建仿真文件

新建之后的界面

注意Vivado不能像ISE中直接生成一个带有模块的testbench,这里只能生成一个只有模块名的测试文件,具体内容需自己添加,相当于写一个源文件。本例中测试程序如下:`timescale 1ns / 1ps

//

// Company:

// Engineer:

//

// Create Date: 2016/12/02 15:04:25

// Design Name:

// Module Name: Fredivider_tb

// Project Name:

// Target Devices:

// Tool Versions:

// Description:

//

// Dependencies:

//

// Revision:

// Revision 0.01 - File Created

// Additional Comments:

//

//

module Fredivider_tb(

);

reg sys_

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值