无符号数 有符号数强转_芯片设计-verilog有符号无符号运算

本文直接verilog推荐做法。不会讲一些莫名其妙的写法。

1、有符号数和无符号数不要混合运算,跟运算符号优先级一样,能打个括号解决的事情,用优先级干嘛,写代码要有简单有效不易出错的好习惯;

2、N位的数和N位的数进行运算;
加减,应该等于一个N+1位的数;
乘法,应该等于一个N+N位的数;
以后会仔细讲讲Q格式表达和运算

3、有符号数运算就直接定义sign就好,计算机对于有符号数都是采用2的补码来表示
reg sign[7:0] a ;
reg sign[7:0] b ;
reg sign[8:0] c ;
assign c = a - b ; //上面定义的最高位就是符号位
上面的运算时有可能发生溢出的,一般我们都是需要判断上溢还是下溢,这时候我的建议是扩展符号位,采用双符号位判决,
reg sign[7:0] a ;
reg sign[7:0] b ;
reg sign[9:0] c ;
assign c = a - b ; // c[9:8]=2'b01时,就是正溢出;c[9:8]=2'b10,就是负溢出;

4、采用2的补码表示,有符号扩展。8位有符号数8'b11111000,扩展成9位的有符号数,9'b1_1111_1000,很简单高位补1就行,扩成10位,就补2个1;verilog设计的时候要知道规律。verilog sign定义负数高位补1,不影响值,c语言也是一样的,用c语言配置有符号数到寄存器同样不会带来错误。

5、2补码大家运算方式都知道,1000(-8)是比较特殊的,它的原码应该是11000, 4位表达是不的够。实际使用中要特别注意这个饱和处理,不要处理错了。计算绝对值之类要注意这个边界。

ea7e76f78453579fac9c28629b21ae9e.png
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值