fpga开发教程 labview_LabVIEW FPGA教程

导入

LabVIEW FPGA

硬件的同时通过

LabVIEW FPGA

程序框图

与它进行通讯的框架。一旦导入成功,相对于

LabVIEW FPGA

IP

可以独立地、并行地运行。

IP

既能以

原始

VHDL

的形式也能以诸如电子设计交换格式

(Electronic design interchange format, EDIF)

网表等中间

文件的形式存在。这一功能要求使用者具有一定数字电路设计经验和

VHDL

的基本知识,因为所导入的

IP

通常是一种底层的硬件描述语言

(Hardware description language, HDL)

对于不同的

FPGA

目标,其所支持的

CLIP

也不同。请参考目标硬件的的定义文档获取关于

CLIP

支持的

信息。部分

FPGA

目标可支持以下一种或者两种类型的

CLIP

用户定义的

CLIP

导入

VHDL

代码,直接与

FPGA VI

进行通讯。

套接字

CLIP

导入

VHDL

代码,直接和不与

LabVIEW FPGA

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值