vcd文件中存储多维数组 vcs_怎样在Modelsim软件中产生一个.vcd文件并且显示波形?...

解决方案ID: rd07062010_692

最后修改: 2012 年9 月11 日

产品类别: 设计软件

产品领域: 仿真/一致性验证

产品子领域: ModelSim-Altera(仿真/一致性验证)

软件: Quartus II Linux

标题

怎样在Modelsim软件中产生一个.vcd文件并且显示波形?

说明

VCD文件是一个IEEE 1364-1995标准文件,包含所有调试仿真结果所需要的仿真波形信息。它包含设计中的所有信号,当需要在波形窗口中加入信号时,你不需要重新运行仿真。

为了生成一个.vcd文件:

1) 在transcript窗口中成功编译和加载设计

2) 指定VCD文件名称

- 语法: vcd file.vcd

3) 使能VCD文件存储需要的节点信号

- 语法: vcd add/*

注意: 这个命令不会存储子节点信号

使能加密节点的VCD会产生告警

4) 运行仿真,产生VCD数据库

5) 退出仿真

- 语法: quit –sim

为了在Modelsim软件的波形窗口中显示.vcd文件中的信号:

1) 在Modelsim中将VCD格式转换为WLF格式

- 语法:vcd2wlf

注意: 如果转换失败,很可能是由于不存在的节点路径导致的。请确认在前面第3步中指定的所需节点路径是正确的。

2) 退出当前的Modelsim环境 (Modelsim生成正确VCD文件的要求)

3) 打开第1步生成的WLF文件

- File菜单 -> Open -> file2.wlf

4) 在Object窗口中选择调试所需信号,并把它们添加到波形窗口中去

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值