自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(10)
  • 资源 (2)
  • 收藏
  • 关注

原创 win10直接连接树莓派4B(无需安装软件)

1.首先确保SSH已开启开启SSH的方法在这:https://blog.csdn.net/weixin_40186037/article/details/1036269342.win+r打开命令行输入ssh pi@你树莓派的IP地址例如:ssh pi@192.168.1.1113.打开win10自带的远程桌面输入树莓派的IP地址,用户名,密码,即可进入树莓派图形界面...

2020-01-15 15:24:35 2917

原创 树莓派4B入门:系统安装(没有外接鼠标键盘显示屏)

树莓派4B的主要功能包括高性能64位四核处理器,通过一对micro-HDMI端口支持分辨率4K的双显示屏,4Kp60的硬件视频解码,4GB的RAM,双频2.4/5.0 GHz无线局域网,蓝牙5.0,千兆以太网,USB 3.0和PoE功能(通过单独的PoE HAT插件)。准备:SD卡、电脑、树莓派,系统镜像文件,SDCARDformatter,win32disklmager,putty,V...

2019-12-20 09:38:55 2528

原创 卡尔曼滤波原理及公式推导

一、卡尔曼滤波(Kalman filtering)是一种利用线性系统状态方程,通过系统输入输出观测数据,对系统状态进行最优估计的算法。由于观测数据中包括系统中的噪声和干扰的影响,所以最优估计也可看作是滤波过程。二、算法的核心思想是:根据当前的仪器"测量值" 和上一刻的 “预测量” 和 “误差”,计算得到当前的最优量,再预测下一刻的量。三、举个例子并顺便推导卡尔曼滤波方程首先,你有一辆小车...

2019-12-10 19:51:11 1172

原创 使用X-HDL对VHDL/Verilog相互转换的简单教程

1.下载后先运行X-HDL-4.2.1-Setup.exe文件,选择安装路径,注意路径中不要有中文。2.运行crack_xhdl_4.2.1.exe文件,选择刚刚你安装XHDL的路径下的\bin文件夹,点击next—finish,出现success代表破解成功。3.可能会要求你重启电脑,这时候同意重启就好了。4.重启之后运行X-HDL。5.可以选择VHDL转Verilog或Verilog转...

2019-12-07 17:20:36 12112 18

原创 基于有限状态机的自动售货机控制电路

1、该售货机的功能为每件商品25元,投入总金额大于25元时可找回零钱。(如图为状态转移图)2、使用VHDL实现library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity veding_machine is port(clk,rst: in std_logic; nickel_in,dime_in,quarter_in : in std_logic;...

2019-10-17 15:22:13 1918

原创 VHDL逐级进位加法器

关于逐级进位加法器:VHDL实现:library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity adder_cripple is generic(n:integer:=4); port( a,b:in std_logic_vector(n-1 downto 0); cin: in std_logic; s:out std_logic_vect...

2019-10-11 21:12:29 744

原创 VHDL有符号比较器

功能:a,b输入8位有符号数;x1,x2,x3输出结果,若a>b,输出100;若a<b输出001,a=b输出010。代码实现:sign_compare.vhd-------------------------------------------------------library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD...

2019-10-11 17:02:05 2099

原创 VHDL加法器

什么是加法器:在电子学中,加法器(英语:adder)是一种用于执行加法运算的数字电路部件,是构成电子计算机核心微处理器中算术逻辑单元的基础。在这些电子系统中,加法器主要负责计算地址、索引等数据。除此之外,加法器也是其他一些硬件,例如:二进制数乘法器的重要组成部分。尽管可以为不同计数系统设计专门的加法器,但是由于数字电路通常以二进制为基础,因此二进制加法器在实际应用中最为普遍。在数字电路中,二进...

2019-10-11 10:01:54 3227

原创 VHDL8位除法器

使用VHDL语言编写的8位除法器,编译软件为ISE14.7,编译无误,有详细注释,适合初学者学习。

2019-09-23 10:50:49 2828 1

原创 VHDL基础——VHDL基本单元

vhdl基本单元一.实体:描述模块的外部特征,描述外部接口和接口的特征二.构造体:用构造体对实体内部实现做更详细的描述。一个实体声明可以有多个构造体。三.包集合:用于存储在工程设计中需要反复用到的常量定义、数据类型定义、子类型定义、子程序、函数声明。四.库一.实体:描述模块的外部特征,描述外部接口和接口的特征entity 实体名称 is port();end实体名称;1.端口端口...

2019-09-22 16:22:39 1019

my_div_01.vhd

使用VHDL语言编写的8位除法器,编译软件为ISE14.7,编译无误,有详细注释,如有错误欢迎大家私信我进行讨论~

2019-12-07

divier.vhd

使用vhdl语言编写的8位除法器,编译环境为ISE14.7,编译无误,有详细注释,适合初学者学习

2019-09-23

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除