FPGA作业3:通过例化设计18进制计数器

本文档详细介绍了如何使用FPGA(Field-Programmable Gate Array)进行18进制计数器的设计。从创建工程开始,包括选择EP1C2Q24C8芯片,设置ModelSim-Altera仿真工具,编写Verilog HDL代码,创建符号文件,设计BDF文件,导入引脚配置,直至进行编译和仿真操作,每一步骤都有清晰的图文说明,确保读者能成功实现18进制计数器的功能验证。
摘要由CSDN通过智能技术生成

1.点击file-new project wizard新建工程,工程名字为“lab3”,然后next-next,选择cyclone旗下的EP1C2Q24C8芯片,点击next,在simulation一栏,工具名选用“ModelSim-Altera”,类型选择“Verilog-HDL”,再点击finish完成工程的创建。
2.点击file-new新建verilog HDL file,输入程序代码,已“eighteencout”的名字保存,如图所示:
这里写图片描述
然后右键“eighteen.v”,选择“create symbol files for current file”为工程创建符号。
3.点击file-new新建bdf文件,选择器件连接,并以“count18.bdf”的名字保存,如图:
这里写图片描述
4.将已准备好的文本文档(pin引脚文件)通过assignments-impo

  • 1
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值