modelsim的destbench模型1

  1. tb模型
`timescale 1ns/1ns      //单位时标声明 1ns是单位  100ps是精度

module tb_ex2_case();    //声明不需要端口列表, 顶层没有输入输出
reg  sclk,rst_n;         // 激励信号的声明
wire dv;
wire [7:0] data;
reg  [7:0] i_addr = 0;
reg  [9:0] i_data = 0;

initial begin            // 上电初始化过程一次上电仅支持一次,初始化使用顺序执行,只能对寄存器模块赋值
         sclk = 0;
         rst_n = 0;
         #200
         rst_n = 1;
  end
  
initial begin
         #500
         send_data(255);  
  end

// 产生时钟
always #10 sclk <= ~sclk;  //周期20ns时钟   // 循环震荡周期为20ns

// 例化的方法
// 原始模块名字,例化的名字(自定义)
ex_case  ex_case_inst(
         .rst_n  (rst_n),   // 模拟外部复位电平destbench      
         .sclk  (sclk),    // 模拟晶振产生时钟震荡
         .o_dv  (dv),
         .o_data  (data),    // 例化模块的时候如果原始模块是输出信号,那麽括号内必须是wire变量
         .i_data     (i_data),
         .i_add      (i_addr)
 );

// 产生一个任务
task send_data(len);   
     integer len,i; // 变量声明区  整形
     begin
              for (i=0;i<=len;i=i+1)   // 循环语句
              begin
                   @(posedge sclk);  // 按节拍的工作
                   i_addr <= i[7:0]; 
                   i_data <= i[7:0];
              end
              i_addr <= 0; 
              i_data <= 0;
      end
endtask
endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
要在Vivado 2020.1中实现与ModelSim的联动,你可以按照以下步骤进行操作: 1. 首先,确保你已经安装了Vivado和ModelSim,并且两个软件的版本是兼容的。根据引用中提到的,Vivado对于ModelSim版本并不是向下兼容的。所以请确保你使用的Vivado版本与ModelSim版本匹配。 2. 在Vivado界面中,点击"Tools"菜单,然后选择"Settings"。在弹出的对话框中,选择"Simulation"选项卡。在该选项卡中,你可以找到"Simulator"部分。 3. 在"Simulator"部分,你需要选择ModelSim作为默认的仿真工具。根据你的安装情况,可能需要浏览并选择ModelSim的安装路径。确保你选择的是你正确安装的ModelSim版本。 4. 在Vivado中生成仿真库。你可以通过选择"Tools"菜单,然后选择"Compile Simulation Libraries"来生成仿真库。如果在这个过程中遇到报错,你可以根据引用中提到的,在vivado_tcl的日志目录中找到相应日志文件进行查看。如果报错较多,有可能是Vivado和ModelSim的版本不匹配导致的。你可以参考Xilinx官方文档ug973,选择适合你的Vivado版本的ModelSim仿真工具。 这些步骤应该可以帮助你实现Vivado 2020.1与ModelSim的联动。如果你遇到了其他问题,可以参考引用中提到的解决方法,或者搜索相关的技术博客和论坛进行进一步的参考和解决。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [vivado生成modelsim联合仿真库报错解决方法](https://blog.csdn.net/weixin_43462344/article/details/131756543)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值