系统任务$readmemb和$readmemh

在verilog程序中有两个系统任务$readmemb和$readmemh,用来从温江中读取数据到存储器中。这两个系统任务可以在仿真的任何时刻被执行使用,其使用格式共有以下6中:

在这两个系统任务中,被读取的数据文件的内容只能包含:空白位值(空格,换行,制表格,注释行),二进制或十六进制的数字。数字中不能包含位宽说明和格式说明,对于$readmemb系统任务,每个数字必须是二进制数字,对于$readmemh系统任务,每个数字必须是十六进制数字。另外,数字必须用空白位置或者注释行来分隔开。

在项目的讨论中,地址一次指对存储器建模的数据的寻址指针。当数据文件被读取时,每个被读取的数字都没存放到地址连续的存储器单元中去,存储器单元的存放地址范围由系统任务声明语句中的起始地中和结束地址来说明。每个数据的存放地址在数据文件中继续宁说明。当地址出现在数据文件中,其格式为字符@后面跟十六进制数,对于这个十六进制的地址数中,允许大小写的数字。在字符@和数字之间不允许存在空白位置。可以在数据文件中存在多个地址。当系统任务遇到一个地址说明时,系统任务将该地址后的数据存放到存储器相应的地质单元中去。

对于上面6中系统任务格式,需要补充说明以下5点:

  1. 如果系统任务声明语句中和数据文件里都没有进行地址说明,则默认的存放起始地址为该存储器定义语句中的起始地址。数据文件里的数据被连续存放到该存储器中,知道该存储器单元存满为止或数据文件里的数据存完。
  2. 如果系统任务中说明的存放的起始地址,没有说明存放的结束地址,则数据从起始地址开始存放,存放到该存储器定义语句中的结束地址为止。
  3. 如果地址信息在系统任务和数据文件里都进行了说明,那么数据文件里的地址必须在系统任务中地址参数声明的范围之内,否则将提示错误信息,并且将装载数据的操作中断。
  4. 数据数据文件里的数据个数和系统任务中的起始地址以及错误地址个数不同,也要提示相应的错误信息。
  • 5
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值