VerilogHDL系统任务$readmemb和$readmemh

系统任务均可以从文件中读取数据到存储器

  1. 常用的格式:
    $readmemb("<数据文件名>",<存储器名>,<起始地址>,<终止地址>);
    $readmemb("<数据文件名>",<存储器名>,<起始地址>,<终止地址>);
    注:起始地址和终止地址可以不加。

  2. 注意事项:
    (1)被读取的文件中只能包含:空白位置(空格、换行、制表格(tab)),注释行(//形式的和//形式的都可以)、二进制和二六进制数据。
    (2)被读取的文件中不能包含位宽书名和格式说明,对于$ readmemb系统任务,每个数必须是二进制,对于$readmemh系统任务,每个数必须是十六进制。数字中可以有不定值x或X和高阻值z或Z,还可以有下画线(_)。另外,数字必须用空白位置或注释行来分隔。

  3. 例子
    如:文件data.dat内容如下:
    @002
    11111111 01010101
    00000000 10101010
    @006
    1111zzzz 00001111
    verilog程序如下:
    reg [7:0] meme[0:7];
    $readmemb(“data.dat”,meme);
    则寄存器中的内容如下:
    meme[0]=xxxxxxxx;
    meme[1]=xxxxxxxx;

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

壹零捌

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值