UVM寄存器模型知识梳理-(2)

目录

三.寄存器常规方法

1.mirror/desired/actual value

2.prediction分类

3.uvm_reg的访问方法

4.内建sequence

四.寄存器应用场景

1.概述

2.寄存器检查

3.覆盖率相关


三.寄存器常规方法

1.mirror/desired/actual value

刚开始一般会进行复位,复位后mv/dv/av三个值相等,而后reg_mod更改dv,dv进过adapter/bus配置在dut_reg中的av,而总线上的监测功能会更新mv,再使三个值相等.

2.prediction分类

1.自动预测(前篇文章提及过)

2.显示预测

注意使用predictor时需要将adapter和map的句柄传入,并和monitor相连接.

3.uvm_reg的访问方法

1.首选注意从谁提供的方法区分,有uvm_reg_block/uvm_reg/uvm_reg_field/uvm_reg_sequence,同时要区分针对的对象不同

2.mirror()

只针对block/reg级别,从dut_reg读av,检查或更新mv,不会返回读取结果

3.set()/get()/update()

首先注意set()/get()返回的值是dv,我们经常使用set()设置dv,然后调用update使dv/av/mv三值统一.

4.mem与reg的联系和差别

4.内建sequence

常规例化-指定reg_mod-挂载sqr使用内建seq对dut_reg进行检查

uvm_resource_db可以用来禁止域名

四.寄存器应用场景

1.概述

1.理解一下mv和shadow reg的联系共同点

2.寄存器检查

1.对于状态寄存器的检查:先用peek()获取再用mirror()前门访问

3.覆盖率相关

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
UVM(Universal Verification Methodology)寄存器模型是一用于验证芯片寄存器功能的标准方法。它提供了一个统一的、可重用的框架,用于建立和管理寄存器模型,以及执行寄存器访问和验证。 UVM寄存器模型的主要组成部分包括寄存器模型寄存器层次结构、寄存器操作和寄存器验证环境。 1. 寄存器模型UVM寄存器模型是一个抽象的表示,用于描述芯片内部的寄存器寄存器字段。它提供了一种结构化的方式来定义寄存器的属性、寄存器字段的位宽和访问权限等。 2. 寄存器层次结构:UVM寄存器模型支持多层级的寄存器结构,可以通过层级关系来描述芯片内部的寄存器模块和子模块。这样可以更好地组织和管理寄存器模型,并提供寄存器之间的相互作用和访问。 3. 寄存器操作:UVM提供了一系列的API,用于执行寄存器读写操作。通过这些API,可以向寄存器模型发送读写请求,并获取响应。同时,还可以对寄存器的访问进行配置和控制,如重置、写入默认值等。 4. 寄存器验证环境:UVM寄存器模型可以与其他验证环境进行集成,以验证寄存器功能的正确性。通过使用事务级建模(TLM)接口,可以将寄存器操作与其他验证组件进行交互,并进行功能验证、覆盖率分析和错误注入等。 总之,UVM寄存器模型提供了一种规范化的方法来描述和验证芯片寄存器功能。它具有可重用性、灵活性和扩展性,并能与其他验证组件进行集成,从而提高验证效率和可靠性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值