UVM实战——lab2

Assertion检查及覆盖率应用

APB总线协议的断言检查

在这里插入图片描述

在PSEL为高时,PADDR总线不可以为X值。

property p_paddr_no_x;
	@(posedge clk) psel |-> !$isunknown(paddr);
endproperty
assert property(p_paddr_no_x) else `uvm_error("ASSERT", "PADDR is unknow when PSEL is high")

在PSEL拉高的下一个周期,PENABLE也应该拉高。

property p_psel_rose_next_cycle_penable_rise;
	@(posedge clk) $rose(psel) |=> $rose(penable);
endproperty
assert property(p_psel_rose_next_cycle_penable_rise) else `uvm_error("ASSERT", "PENABLE not rose after 1 cycle PSEL rose")

在PENABLE拉高的下一个周期,PENABLE应该拉低。

property p_enable_rose_next_cycle_fall;
	@(posedge clk) $rose(penable) |=> $fell(penable);
endproperty
assert property(p_penable_rose_next_cycle_fall) else `uvm_error("ASSERT", "PENABLE not fall after 1 cycle PENABLE rose")

在PSEL和PWRITE同时保持为高的阶段,PWDATA需要保持。

property p_pwdata_stable_during_trans_phase;
	@(posedge clk) (psel && !penable) ##1 (psel && penable) |
  • 2
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值