uvm_reg中修改mirror、desired、actual value的方法总结

1、在利用寄存器模型时,可以用其来追踪dut中寄存器的值,寄存器模型中的寄存器,其每个field有两个值,分别是期望值(desired value)镜像值(mirror value)。硬件中寄存器的值为实际值(actual value),利用三个值可以帮助实现寄存器模块的检查

2、uvm_reg中许多方法可以修改这三个值,包括read、write、peek、poke、mirror、update、set,下面我将对这几种方法如何修改三个值进行总结。

2.1 write:前门访问-寄存器产生uvm_reg_item,adapter把uvm_reg_item转为bus_drive能用的transaction,将transaction传递给bus_sequencer,在由sequencer传递给driver。

无论前门还是后门的wirte,都会调用uvm_reg::predict()来修改mirror value,design value。前门访问会在总线事务完成后修改,采用的显示预测。后门访问直接在0时刻修改,采用自动预测。

2.2 read:

 同write,会调用uvm_reg::predict()来修改mirror value,design value

2.3 peek:后门读取,利用UVM DPI直接读取硬件实际值

2.4 poke:后门修改硬件实际值。

2.5 set:

修改寄存器模型中的desire value

2.6 mirror

 读回硬件的实际值,通过第二个参数可以控制更新或者检查。

mirror与read的区别在于mirror可以进行检查。

Read-only or read-and-check

UVM_NO_CHECKRead only
UVM_CHECKRead and check

 2.7 update:如果期望值/镜像值不同于实际值,则修改硬件实际值

 在uvm_reg_block调用update后,会对里面的每个reg进行update,每个reg又会对自身的field进行write操作,所以可以更新期望值和镜像值。

2.8predict:能够修改mirror_value, design_value的核心

 

 更新field的镜像值和所需值

kind有三种参数:

How the mirror is to be updated

UVM_PREDICT_DIRECTPredicted value is as-is
UVM_PREDICT_READPredict based on the specified value having been read
UVM_PREDICT_WRITE

Predict based on the specified value having been written

如果想要更新镜像值又不对DUT进行操作,要用UVM_PREDICT_DIRECT。

  write、read、peek和poke在完成对DUT的读写之后也会调用这个函数,更新镜像值,期望值。

2.8:randomize

 当寄存器随机化后,期望值会被随机,但是镜像值不变,之后调用update任务,可以更新DUT中的寄存器值。

  一个field能够被随机化,要求:

    1. 在filed的configure第八个参数设为1.

    2. filed为rand类型。

    3. filed的读写类型为可写的。

总结:read、write、peek、poke、update可以修改desire/mirror value,

set,randomize只修改desire value,

mirror只修改或检查mirror value,

  • 4
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值