自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(40)
  • 收藏
  • 关注

原创 猴子都能看懂的噪声(noise)专题

猴子都能看懂的噪声(noise)专题

2023-01-16 00:31:00 1217

原创 【opengl学习旅程#02】imgui+opengl 2d三角形

认识shader在开始画三角形之前,可以看看learnopengl是怎么介绍渲染管线的,对应的内容是你好,三角形着色器我觉得learnopengl里介绍的vbo,vao,ebo还是比较容易理解的,渲染管线也是比较通俗易懂接下来会重点放在vertex shader和fragment shader里面,learnopengl里对这两个shader的封装还是挺舒服的,步骤简单介绍一下// 初始化// 1. 创建shader(vertex)vertex = glCreateShader(GL_

2021-06-24 08:31:27 528 1

原创 【opengl学习旅程#01】imgui+opengl项目准备+测试框架搭建

碎碎念准备毕业了,因为工作可能会跟opengl更多的打交道,所以在毕业前算是重新复习下opengl的知识点吧(其实之前也没怎么掌握过,感觉更像是重新学习hh)学习资料:之前粗略看过learnopengl最近看了下cherno老哥的opengl视频实习期间我的精神导师介绍了opengl红宝书内容的话感觉是尽量偏向learnopengl吧,毕竟比较熟悉,而且还有示例代码!太棒啦!!感觉本系列更像是自己的一个笔记吧!记录下自己重新学习opengl的过程,之后再想想转到vulkan(看的云里雾里的

2021-06-17 13:55:46 1506 1

原创 【U3D/Shader】07.透明效果

自我介绍广东双非一本的大三小白,计科专业,想在制作毕设前夯实基础,毕设做出一款属于自己的游戏!透明效果实现透明效果,就要控制透明通道unity中会有两种方法实现透明效果透明度测试透明度混合unity里面,倘若场景中包含很多模型时,我们需要考虑他们的渲染顺序来得到正确的排序效果,这就需要深度缓冲(z-buffer):根据深度缓存中的值来判断该片元距离摄像机的距离倘若A挡住B,我们先渲染A,在渲染B时因为深度测试的时候会判断出B离摄像机更远,就不会写入到颜色缓冲中了但是!要实现透明

2020-07-19 10:59:00 435

原创 【U3D/Shader】06.基础纹理(下)渐变纹理,遮罩纹理

自我介绍广东双非一本的大三小白,计科专业,想在制作毕设前夯实基础,毕设做出一款属于自己的游戏!渐变纹理效果代码没什么好说的,跟单张纹理类似不过要注意的是:渐变纹理是一维纹理,所以在vert中进行uv映射之后,在frag中进行采样的uv值中的v和u方向都用半兰伯特模型中的值即可(该半兰伯特模型中的值已被映射到 [0, 1] 中)Shader "Unlit/014"{ Properties { _RampTex("MainTex", 2D) = "white" {}

2020-07-16 08:46:55 237

原创 【U3D/Shader】05.基础纹理(上)基础纹理,凹凸映射

自我介绍广东双非一本的大三小白,计科专业,想在制作毕设前夯实基础,毕设做出一款属于自己的游戏!基础纹理概念:单张纹理纹理映射坐标:也称UV坐标,范围在 [0, 1] 之间逐纹素(纹素主要是和像素进行区分):控制模型的颜色凹凸纹理凹凸映射,也称法线纹理映射单张纹理我们通常会用一张纹理来代替物体的漫反射颜色Shader "Unlit/011"{ Properties { _MainTex("MainTex", 2D) = "white" {} _Di

2020-07-14 10:33:19 276

原创 【U3D/Shader】04.基础光照模型

自我介绍广东双非一本的大三小白,计科专业,想在制作毕设前夯实基础,毕设做出一款属于自己的游戏!标准光照模型把进入摄像机内的光线分为4部分:自发光:emissive高光反射:specular漫反射:diffuse环境光:ambient逐顶点/片元渲染:逐顶点渲染:也被称为高洛德着色,以来于线性插值得到像素光照,所以光照模型中有非先行计算时会出现问题(明显感到像素点,棱角现象)逐片元渲染:也被称为Phong着色,以每个像素为基础得到他的法线,然后进行计算(颜色展示更加平滑)漫反射

2020-07-13 10:53:46 236

原创 【U3D/Shader】3.剖析并模块化最简单的shader

自我介绍广东双非一本的大三小白,计科专业,想在制作毕设前夯实基础,毕设做出一款属于自己的游戏!最简单的shader简单来说,有输入也要有输出,输入和输出的类型相当于语义002.shaderShader "Unlit/002"{ Properties { _MainTex ("Texture", 2D) = "white" {} } SubShader { Tags { "RenderType"="Opaque" } LOD 100 Pass { CGPROG

2020-07-11 08:34:26 165

原创 【U3D/Shader】2.UnityShader概述

自我介绍广东双非一本的大三小白,计科专业,想在制作毕设前夯实基础,毕设做出一款属于自己的游戏!UnityShader在Unity中可以创建如下shader,unity2019中新增了Ray Tracing Shader[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-g8gPxbQ1-1594344269103)(697A4DA4BCF94471BB81FFDE1AC5F659)]Standard Surface Shader :会产生一个包含了标准光照模型的表面着色

2020-07-10 09:25:10 291

原创 【U3D/Shader】1.基本知识:各种名词解释

自我介绍广东双非一本的大三小白,计科专业,想在制作毕设前夯实基础,毕设做出一款属于自己的游戏!目的了解各类名词:GPU三大shader编程语言渲染流水线逐片元操作模板测试深度测试CPU与GPU如何并行工作?什么是固定管线渲染?UnityShader空间变换GPU优点:由于GPU具有高并行结构,所以GPU在处理图形数据和复杂算法方面拥有比CPU更高的效率。CPU大部分面积为控制器和寄存器,与之相比,GPU拥有更多的 ALU(Arithmetic Logic Unit ,

2020-07-09 12:59:52 405

原创 【U3D/UGUI】5.优化滚动panel(10w个物品)并泛化

自我介绍广东双非一本的大三小白,计科专业,想在制作毕设前夯实基础,毕设做出一款属于自己的游戏!优化滚动panel理论基础:背包中显示(可视范围内)有多少个物品就有多少个gameobject利用位置关系计算并显示出当前格子信息效果如下:要用到前面的UI框架和单例搭建场景非常简单,背包面板只是一个简单的 ScrowView,做成预制体当背包里的格子也要做成预制体,格子身上也应该要挂载一个类主要用于初始化信息(后期扩展可以鼠标移上去展示信息),这里的格子只有简单的数字当下标格子主要放

2020-07-08 10:54:10 282

原创 【U3D/简单框架】6.UI模块

自我介绍广东双非一本的大三小白,计科专业,想在制作毕设前夯实基础,毕设做出一款属于自己的游戏!UI模块UI基类 BasePanel.cs,以后子类只需要继承这个基类,使用如图一定一定一定要注意泛型的约束 where T: [class]using System.Collections.Generic;using UnityEngine;using UnityEngine.EventSystems;using UnityEngine.UI;/// <summary>/// 面

2020-07-07 11:14:21 417 1

原创 【U3D/简单框架】5.音效管理模块

自我介绍广东双非一本的大三小白,计科专业,想在制作毕设前夯实基础,毕设做出一款属于自己的游戏!音效管理模块知识点:AudioSource,List作用:统一管理音乐音效模块MusicMananger.csusing System.Collections.Generic;using UnityEngine;using UnityEngine.Events;public class MusicManager : BaseSingleton<MusicManager>{

2020-07-06 09:38:10 266 2

原创 【U3D/简单框架】4.公共mono模块

自我介绍广东双非一本的大三小白,计科专业,想在制作毕设前夯实基础,毕设做出一款属于自己的游戏!公共mono模块知识点:过场景不移除作用:让没有继承mono的类可以开启协程,可以Update更新,统一管理Update创建 MonoController.csusing System.Collections;using System.Collections.Generic;using UnityEngine;using UnityEngine.Events;public class Mo

2020-07-05 09:53:37 346

原创 【U3D/简单框架】3.事件中心模块及其优化

自我介绍广东双非一本的大三小白,计科专业,想在制作毕设前夯实基础,毕设做出一款属于自己的游戏!事件中心模块知识点:Dictionary,委托,观察者设计模式作用:降低程序耦合性,减少程序复杂度简单的一个事件中心系统 EventCenter.csusing System.Collections.Generic;using UnityEngine.Events;public class EventCenter : BaseSingleton<EventCenter>{

2020-07-04 10:32:29 301

原创 【U3D/简单框架】2.缓存对象池

自我介绍广东双非一本的大三小白,计科专业,想在制作毕设前夯实基础,毕设做出一款属于自己的游戏!对象池当我们在unity场景中需要多次重复的对某一个GameObject进行创建和销毁时,减少GC给游戏带来的卡顿。当我们在unity中销毁物体上,并不会真的在内存中去释放空间,只是断开引用,最终的释放空间是在当内存满的时候进行GC当场景中不需要该GameObject时,不进行销毁,只是将其隐藏,放在对象池中管理,需要时从对象池中拿出来显示在unity,往往不止需要一种GameObject的对象池,这

2020-07-02 22:41:28 327

原创 【U3D/简单框架】1.单例模式(普通单例,mono单例)

自我介绍广东双非一本的大三小白,计科专业,想在制作毕设前夯实基础,毕设做出一款属于自己的游戏!单例模式基类目的:通过代码设计,让某个对象在游戏中,永远只存在一份:比如一个游戏玩家只有一份角色数据比如各个游戏模块,都只需要独立的一个控制器即可约束了代码的调用方式,让其访问的时候指向唯一的对象:而不是进行重复的创建对象,销毁对象对性能而言,减少了内存垃圾回收的频率,点点滴滴的优化,积少成多通常有两种需求:不需要继承mono,因为不需要用到生命周期:通常用在数据实体

2020-07-01 13:33:53 605

原创 【U3D/UGUI】4.雷达图

雷达图先来看看效果图要达到这个效果只需要三个脚本,一个是管理所有雷达点的,一个是控制单个雷达点的,还有一个是在EditorRadarChartHandler.cs 单个雷达点,并不需要加载到任何一个物体上,由管理器来管理加载RadarChart.cs 管理多个雷达点RadarChartEditor.cs 编辑器RadarChartHandler.csusing UnityEngine;using UnityEngine.EventSystems;using UnityE

2020-06-30 14:43:47 279 1

原创 【U3D/UGUI】3.2DImage制作仿3D轮转图

自我介绍广东双非一本的大三小白,计科专业,想在制作毕设前夯实基础,毕设做出一款属于自己的游戏!2DImage制作仿3D轮转图这一章其实不难,涉及的数学知识也非常简单,但是实现过程中有很多收获!主要的还是两个脚本:RotationDiagram2D.cs 这是需要挂载到一个物体上的RotationDiagramItem.cs 并不需要挂载到任何物体上,由 RotationDiagram2D 组件自动生成gameobject对象并挂载RotationDiagram2D.csusing

2020-06-29 13:44:17 627 4

原创 【U3D/UGUI】2.使用顶点描绘圆形图片,实现不规则图形点击

使用顶点描绘圆形图片这是制作一个以后都能泛用的圆形image(可以做CD技能相关)主要是两个脚本CircleImage.csCircleImageEditor.csCircleImage.cs 主要是用 segements 来控制边的数量,毕竟图像由多个三角形构成,只要三角形(直边)够多,看上去就像是圆形该脚本还完成的功能有:制作类似技能CD的功能精确点击(可以挂载一个Button组件测试)using System.Collections.Generic;using UnityE

2020-06-28 10:40:38 424

原创 【U3D/UGUI】1.UI遮挡和3D物体响应

搭建场景场景非常简单,一个image还有一个cube挂载测试脚本image上面挂载 ImageClickTest.csusing UnityEngine;using UnityEngine.EventSystems;using UnityEngine.UI;public class ImageClickTest : MonoBehaviour,IPointerClickHandler{ int index; void ChangeColor() {

2020-06-27 19:50:20 397

原创 [django-rest-framework]02.Class-based Views,基于类的视图!

本人普通一本,正值大三,为了能有好的就业,痛定思痛戒掉游戏!鞭策自己至少一周一篇博客学习目标:学校课内基础打扎实,课外学会语言框架,同时提升英语阅读水平学习路线(自拟):vue -> djangorestframework -> goland毕业前想:用前后端分离建成自己的小站,做一款网络游戏(爱好而已)关于本篇djangorestframwork内容皆会上传到我的g...

2019-10-12 15:00:17 141

原创 [django-rest-framework]02.Request and Response,请求和响应!

本人普通一本,正值大三,为了能有好的就业,痛定思痛戒掉游戏!鞭策自己至少一周一篇博客学习目标:学校课内基础打扎实,课外学会语言框架,同时提升英语阅读水平学习路线(自拟):vue -> djangorestframework -> goland毕业前想:用前后端分离建成自己的小站,做一款网络游戏(爱好而已)关于本篇djangorestframwork内容皆会上传到我的g...

2019-10-06 16:10:57 149

原创 [django-rest-framework]01.Serialization,序列器!

本人普通一本,正值大三,为了能有好的就业,痛定思痛戒掉游戏!鞭策自己至少一周一篇博客学习目标:学校课内基础打扎实,课外学会语言框架,同时提升英语阅读水平学习路线(自拟):vue -> djangorestframework -> goland毕业前想:用前后端分离建成自己的小站,做一款网络游戏(爱好而已)关于本篇djangorestframwork内容皆会上传到我的g...

2019-10-01 17:09:38 128

原创 [django-rest-framework]00.quickstart,快速开始!

本人普通一本,正值大三,为了能有好的就业,痛定思痛戒掉游戏!鞭策自己至少一周一篇博客学习目标:学校课内基础打扎实,课外学会语言框架,同时提升英语阅读水平学习路线(自拟):vue -> djangorestframework -> goland毕业前想:用前后端分离建成自己的小站,做一款网络游戏(爱好而已)关于本篇djangorestframwork内容皆会上传到我的g...

2019-09-29 23:13:28 159

原创 【Flask/跟着学习】Flask大型教程项目#12:多语言翻译

跟着学习(新版):https://blog.miguelgrinberg.com/post/the-flask-mega-tutorial-part-xiii-i18n-and-l10n回顾上一章:https://blog.csdn.net/weixin_41263513/article/details/85108042本章内容...

2018-12-22 23:12:36 893

原创 【Flask/跟着学习】Flask大型教程项目#11:日期

跟着学习(新版):https://blog.miguelgrinberg.com/post/the-flask-mega-tutorial-part-xii-dates-and-times回顾上一章:https://blog.csdn.net/weixin_41263513/article/details/85092856

2018-12-21 22:43:46 391

原创 【Flask/跟着学习】Flask大型教程项目#10:美化

跟着学习(新版):https://blog.miguelgrinberg.com/post/the-flask-mega-tutorial-part-xi-facelift回顾上一章:https://blog.csdn.net/weixin_41263513/article/details/85084206

2018-12-19 20:47:39 1296 1

原创 【Flask/跟着学习】Flask大型教程项目#09:电子邮箱

跟着学习(新版):https://blog.miguelgrinberg.com/post/the-flask-mega-tutorial-part-x-email-support回顾上一章:https://blog.csdn.net/weixin_41263513/article/details/85057156

2018-12-19 11:55:53 884

原创 【Flask/跟着学习】Flask大型教程项目#08:分页

跟着学习(新版):https://blog.miguelgrinberg.com/post/the-flask-mega-tutorial-part-ix-pagination回顾上一章:https://blog.csdn.net/weixin_41263513/article/details/85042466本章内容...

2018-12-18 23:04:31 416

原创 【Flask/跟着学习】Flask大型教程项目#07:关注者

跟着学习(新版):https://blog.miguelgrinberg.com/post/the-flask-mega-tutorial-part-vii-error-handling回顾上一章:https://blog.csdn.net/weixin_41263513/article/details/85036999

2018-12-17 21:36:34 440

原创 【Flask/跟着学习】Flask大型教程项目#06:错误处理

跟着学习(新版):https://blog.miguelgrinberg.com/post/the-flask-mega-tutorial-part-vii-error-handling从这章开始摒弃旧版,蛤蛤回顾上一章:https://blog.csdn.net/weixin_41263513/article/details/85015311本章内容自定义错误界面通过email发送错...

2018-12-16 19:25:39 500

原创 【Flask/跟着学习】Flask大型教程项目#05:个人资料

跟着学习(新版):https://blog.miguelgrinberg.com/post/the-flask-mega-tutorial-part-vi-profile-page-and-avatars跟着学习(旧版):http://www.pythondoc.com/flask-mega-tutorial/profile.html回顾上一章:https://blog.csdn.net/we...

2018-12-16 14:46:21 561

原创 【Flask/跟着学习】Flask大型教程项目#04:用户登陆

跟着学习(新版):https://blog.miguelgrinberg.com/post/the-flask-mega-tutorial-part-v-user-logins跟着学习(旧版):http://www.pythondoc.com/flask-mega-tutorial/userlogin.html回顾上一章:https://blog.csdn.net/weixin_4126351...

2018-12-15 16:14:54 2645 1

原创 【Flask/跟着学习】Flask大型教程项目#03:数据库

跟着学习:http://www.pythondoc.com/flask-mega-tutorial/database.html回顾上一章:https://blog.csdn.net/weixin_41263513/article/details/85007362本章参考到的各种内容,感谢大大们的收集总结常用的SQLalchemy 字段类型SQLAlchemy查询过滤器以及查询执行方法...

2018-12-14 22:51:04 694

原创 【Flask/跟着学习】Flask大型教程项目#02:web表单

跟着学习:http://www.pythondoc.com/flask-mega-tutorial/webforms.html回顾上一章:https://blog.csdn.net/weixin_41263513/article/details/85000957本章内容上一章编写的模板都是单向的,所有信息都从服务器流向用户。然而,对多数应用来说,还需要沿相反的方向流动信息,把用户提供的数据...

2018-12-14 20:38:12 1264

原创 【Flask/跟着学习】Flask大型教程项目#01:模板

跟着学习:http://www.pythondoc.com/flask-mega-tutorial/templates.html本章内容模板从天而降模板中控制语句模板中的循环语句模板继承模板从天而降模板是包含响应文本的文件,其中包含用占位变量表示的动态部分,其具体值只在请求的上下文中才能知道。使用真实值替换变量,再返回最终得到的响应字符串,这一过程称为渲染。为了渲染模板,flas...

2018-12-14 17:17:05 929

原创 【Flask/跟着学习】Flask大型教程项目#00:Hello World!

前沿注意注意注意,这是跟着学习栏目,就是跟着大牛们一起学习的一篇记录原链接:http://www.pythondoc.com/flask-mega-tutorial/index.html这次学习的是flask框架,上面链接也有相对应模块的代码下载,十分方便学习不过上述链接里的教程内容跟下载内容有不小的区别而且本人尝试跟着教程里的学习会出不少错误,所以之后的【跟着学习】都是按照下载内容里的...

2018-12-14 13:13:07 709

原创 【python3网络爬虫/笔记】个人学习记录【2】-------爬取美女图片!

第二篇爬虫学习笔记 第一篇只是简单爬取一下:https://blog.csdn.net/weixin_41263513/article/details/82469336 第二篇的学习希望能更深入,更安全,参考了很多资料 目标:掌握更安全的爬取,提高效率与安全性 ps:之后可能会暂时放置一下爬虫的事,开始学习机器学习!主要参考资料: 我觉得这个作者的教程很不错,很朴...

2018-09-09 00:18:44 422

原创 【python3网络爬虫/笔记】个人学习记录【1】-------爬取小说!

参考资料:B站小甲鱼视频,csdn内的大神前辈Jack-Cui 同时参考了各种杂乱的网站与视频hh 内容更多的是自己的笔记与总结Python版本: Python3 运行平台: Windows IDE: pycharm目标:爬取小说!!目标网址:http://www.biqukan.net/一,爬取小说内容先随便选了一篇简单的【小说内容】进行爬取...

2018-09-06 22:26:50 417

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除