CRC校验的简单实现

1.在学习的时候,书上看到的一点理论知识,理论太简洁了,没有说明G(x)的具体由来。但是,如果知识想用CRC校验代码,还是有捷径的:https://www.easics.com/webtools/crctool(这个Generate CRC tool网页工具)可以直接生成VHDL,ver代码,做简单的修改即可。
下面以CRC_32,4bit输入举个例子(此代码是在正点以太网通信中的)。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
网页工具生成的代码:
在这里插入图片描述
module CRC32_D4;

// polynomial: x^32 + x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + 1
// data width: 4
// convention: the first serial bit is D[3]
function [31:0] nextCRC32_D4;

input [3:0] Data;
input [31:0] crc;

reg [3:0] d;
reg [31:0] c;
reg [31:0] newcrc;

begin
d = Data;
c = crc;

newcrc[0] = d[0] ^ c[28];
newcrc[1] = d[1] ^ d[0] ^ c[28] ^ c[29];
newcrc[2] = d[2] ^ d[1] ^ d[0] ^ c[28] ^ c[29] ^ c[30];
newcrc[3] = d[3] ^ d[2] ^ d[1] ^ c[29] ^ c[30] ^ c[31];
newcrc[4] = d[3] ^ d[2] ^ d[0] ^ c[0] ^ c[28] ^ c[30] ^ c[31];
newcrc[5] = d[3] ^ d[1] ^ d[0] ^ c[1] ^ c[28] ^ c[29] ^ c[31];
newcrc[6] = d[2] ^ d[1] ^ c[2] ^ c[29] ^ c[30];
newcrc[7] = d[3] ^ d[2] ^ d[0] ^ c[3] ^ c[28] ^ c[30] ^ c[31];
newcrc[8] = d[3] ^ d[1] ^ d[0] ^ c[4] ^ c[28] ^ c[29] ^ c[31];
newcrc[9] = d[2] ^ d[1] ^ c[5] ^ c[29] ^ c[30];
newcrc[10] = d[3] ^ d[2] ^ d[0] ^ c[6] ^ c[28] ^ c[30] ^ c[31];
newcrc[11] = d[3] ^ d[1] ^ d[0] ^ c[7] ^ c[28] ^ c[29] ^ c[31];
newcrc[12] = d[2] ^ d[1] ^ d[0] ^ c[8] ^ c[28] ^ c[29] ^ c[30];
newcrc[13] = d[3] ^ d[2] ^ d[1] ^ c[9] ^ c[29] ^ c[30] ^ c[31];
newcrc[14] = d[3] ^ d[2] ^ c[10] ^ c[30] ^ c[31];
newcrc[15] = d[3] ^ c[11] ^ c[31];
newcrc[16] = d[0] ^ c[12] ^ c[28];
newcrc[17] = d[1] ^ c[13] ^ c[29];
newcrc[18] = d[2] ^ c[14] ^ c[30];
newcrc[19] = d[3] ^ c[15] ^ c[31];
newcrc[20] = c[16];
newcrc[21] = c[17];
newcrc[22] = d[0] ^ c[18] ^ c[28];
newcrc[23] = d[1] ^ d[0] ^ c[19] ^ c[28] ^ c[29];
newcrc[24] = d[2] ^ d[1] ^ c[20] ^ c[29] ^ c[30];
newcrc[25] = d[3] ^ d[2] ^ c[21] ^ c[30] ^ c[31];
newcrc[26] = d[3] ^ d[0] ^ c[22] ^ c[28] ^ c[31];
newcrc[27] = d[1] ^ c[23] ^ c[29];
newcrc[28] = d[2] ^ c[24] ^ c[30];
newcrc[29] = d[3] ^ c[25] ^ c[31];
newcrc[30] = c[26];
newcrc[31] = c[27];
nextCRC32_D4 = newcrc;

end
endfunction
endmodule

修改为:
module crc32_d4(
input clk , //时钟信号
input rst_n , //复位信号,低电平有效
input [3:0] data , //输入待校验4位数据
input crc_en , //crc使能,开始校验标志
input crc_clr , //crc数据复位信号
output reg [31:0] crc_data, //CRC校验数据
output [31:0] crc_next //CRC下次校验完成数据
);

//*****************************************************
//** main code
//*****************************************************

//输入待校验4位数据,需要先将高低位互换
wire [3:0] data_t;

assign data_t = {data[0],data[1],data[2],data[3]};

//CRC32的生成多项式为:G(x)= x^32 + x^26 + x^23 + x^22 + x^16 + x^12 + x^11
//+ x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + 1
assign crc_next[0] = crc_en & (data_t[0] ^ crc_data[28]);
assign crc_next[1] = crc_en & (data_t[1] ^ data_t[0] ^ crc_data[28]
^ crc_data[29]);
assign crc_next[2] = crc_en & (data_t[2] ^ data_t[1] ^ data_t[0] ^ crc_data[28]
^ crc_data[29] ^ crc_data[30]);
assign crc_next[3] = crc_en & (data_t[3] ^ data_t[2] ^ data_t[1] ^ crc_data[29]
^ crc_data[30] ^ crc_data[31]);
assign crc_next[4] = (crc_en & (data_t[3] ^ data_t[2] ^ data_t[0] ^ crc_data[28]
^ crc_data[30] ^ crc_data[31])) ^ crc_data[0];
assign crc_next[5] = (crc_en & (data_t[3] ^ data_t[1] ^ data_t[0] ^ crc_data[28]
^ crc_data[29] ^ crc_data[31])) ^ crc_data[1];
assign crc_next[6] = (crc_en & (data_t[2] ^ data_t[1] ^ crc_data[29]
^ crc_data[30])) ^ crc_data[ 2];
assign crc_next[7] = (crc_en & (data_t[3] ^ data_t[2] ^ data_t[0] ^ crc_data[28]
^ crc_data[30] ^ crc_data[31])) ^ crc_data[3];
assign crc_next[8] = (crc_en & (data_t[3] ^ data_t[1] ^ data_t[0] ^ crc_data[28]
^ crc_data[29] ^ crc_data[31])) ^ crc_data[4];
assign crc_next[9] = (crc_en & (data_t[2] ^ data_t[1] ^ crc_data[29]
^ crc_data[30])) ^ crc_data[5];
assign crc_next[10] = (crc_en & (data_t[3] ^ data_t[2] ^ data_t[0] ^ crc_data[28]
^ crc_data[30] ^ crc_data[31])) ^ crc_data[6];
assign crc_next[11] = (crc_en & (data_t[3] ^ data_t[1] ^ data_t[0] ^ crc_data[28]
^ crc_data[29] ^ crc_data[31])) ^ crc_data[7];
assign crc_next[12] = (crc_en & (data_t[2] ^ data_t[1] ^ data_t[0] ^ crc_data[28]
^ crc_data[29] ^ crc_data[30])) ^ crc_data[8];
assign crc_next[13] = (crc_en & (data_t[3] ^ data_t[2] ^ data_t[1] ^ crc_data[29]
^ crc_data[30] ^ crc_data[31])) ^ crc_data[9];
assign crc_next[14] = (crc_en & (data_t[3] ^ data_t[2] ^ crc_data[30]
^ crc_data[31])) ^ crc_data[10];
assign crc_next[15] = (crc_en & (data_t[3] ^ crc_data[31])) ^ crc_data[11];
assign crc_next[16] = (crc_en & (data_t[0] ^ crc_data[28])) ^ crc_data[12];
assign crc_next[17] = (crc_en & (data_t[1] ^ crc_data[29])) ^ crc_data[13];
assign crc_next[18] = (crc_en & (data_t[2] ^ crc_data[30])) ^ crc_data[14];
assign crc_next[19] = (crc_en & (data_t[3] ^ crc_data[31])) ^ crc_data[15];
assign crc_next[20] = crc_data[16];
assign crc_next[21] = crc_data[17];
assign crc_next[22] = (crc_en & (data_t[0] ^ crc_data[28])) ^ crc_data[18];
assign crc_next[23] = (crc_en & (data_t[1] ^ data_t[0] ^ crc_data[29]
^ crc_data[28])) ^ crc_data[19];
assign crc_next[24] = (crc_en & (data_t[2] ^ data_t[1] ^ crc_data[30]
^ crc_data[29])) ^ crc_data[20];
assign crc_next[25] = (crc_en & (data_t[3] ^ data_t[2] ^ crc_data[31]
^ crc_data[30])) ^ crc_data[21];
assign crc_next[26] = (crc_en & (data_t[3] ^ data_t[0] ^ crc_data[31]
^ crc_data[28])) ^ crc_data[22];
assign crc_next[27] = (crc_en & (data_t[1] ^ crc_data[29])) ^ crc_data[23];
assign crc_next[28] = (crc_en & (data_t[2] ^ crc_data[30])) ^ crc_data[24];
assign crc_next[29] = (crc_en & (data_t[3] ^ crc_data[31])) ^ crc_data[25];
assign crc_next[30] = crc_data[26];
assign crc_next[31] = crc_data[27];

always @(posedge clk or negedge rst_n) begin
if(!rst_n)
crc_data <= 32’hff_ff_ff_ff;
else if(crc_clr) //CRC校验值复位
crc_data <= 32’hff_ff_ff_ff;
else if(crc_en)
crc_data <= crc_next;
end

endmodule

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值