FPGA排序模块与verilog实现【含源码!!!】

基于FPGA的排序

关于排序,通常大家熟悉的都是基于CPU时序结构的排序算法,比如冒泡排序、快速排序等等。但在FPGA上有时也需要进行排序,比如想得到FFT输出的若干点中最大的几十个点,或者我们只关心输出中那些较大的值的情况。如果我们只需要最大的一个值,这比较好办,用一个比较器树就行了。但是如果我们需要取出例如前128个最大值或者最小值,那么通常需要采用排序模块。

在FPGA上实现一个固定输入个数的排序,通常使用排序网络(Sorting Network),一个不错的排序网络就是双调排序网络(Bitonic Sorting Network),这可以在wiki上查到。这个排序网络很好理解,下面简单介绍一下。

看一下下面这个同样是来自wiki的图

Bitonic Sorting Network
它很清楚地介绍了双调排序网络的结构。这是一个16输入的排序网络。所谓双调,就是指这个网络的两组输入都要是单调的,也就是排好序的。例如最后面的一个框里的16输入网络,

  • 9
    点赞
  • 42
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值