verilog中给变量指定的位赋值

reg [15:0]Data;

reg [3:0] i;

reg [1:0] data_r;

......

......

......

 

begin

    Data[i:i-1]<= data_r;

 

 

end

此类赋值是错误的,我自己修改了语句,如下

 

 

 

begin

Data[i] <= data_r[1];

Data[i-1] <= data_r[0];

 

 

 

end

这就很神奇,我对硬件描述原理不太熟悉,很多时候都带着c语言的特点去想 hdl,感觉这硬件描述中有累加器,和d触发器的结合,用一条语句 <= 来表示,也就是d触发器来处理显然不行,所以会报错。以后求哪个大神指点我。或者什么时候去图书馆找找比较细的verilog的语法书吧。

  • 2
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值