八分频FPGA设计

该博客详细介绍了如何使用Verilog在FPGA上实现八分频设计,包括顶层模块的修改版说明和Testbench验证文档。
摘要由CSDN通过智能技术生成

八分频FPGA Verilog设计

顶层模块

module siv(clk,pwm);
input clk;
output reg pwm;
reg [2:0] c;
always @(posedge clk )
begin
c<=c+1'b1;
pwm = c[2];
end 
endmodule

修改版

module siv(clk,pwm);
input clk;
output reg pwm;
reg [2:0] c=0;

always @(posedge clk )
begin
c<=c+3'b001;
pwm <= c[2];
end 
endmodule

Testbench文档

`timescale 1 ps/ 1 ps
    module siv_vlg_tst();
    // constants                                           
    // general purpose registers
    reg eachvec;
    // test vector input registers
    reg clk;
    // wires                                               
    wire pwm;
    
// assign statements (if any)                          
siv i1 (
// port map - connection between master ports and signals/registers   
	.clk(clk),
	.pwm(pwm)
);
initial  
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值